Title of Invention

IMPROVED CMOS DEVICES WITH STRESSED CHANNEL REGIONS, AND METHODS FOR FABRICATING THE SAME

Abstract Abstract IMPROVED CMOS DEVICES WITH STRESSED CHANNEL REGIONS, AND METHODS FOR FABRICATING THE SAME The present invention relates to improved complementary metal-oxide-semiconductor (CMOS) devices with stressed channel regions. Specifically, each improved CMOS device comprises an field effect transistor (FET) having a channel region located in a semiconductor device structure, which has a top surface oriented along one of a first set of equivalent crystal planes and one or more additional surfaces oriented along a second, different set of equivalent crystal planes. Such additional surfaces can be readily formed by crystallographic etching. Further, one or more stressor layers with intrinsic compressive or tensile stress are located over the additional surfaces of the semiconductor device structure and are arranged and constructed to apply tensile or compressive stress to the channel region of the FET. Such stressor layers can be formed by pseudomorphic growth of a semiconductor material having a lattice constant different from the semiconductor device structure.
Full Text IMPROVED CMOS DEVICES WITH STRESSED CHANNEL REGIONS, AND METHODS FOR FABRICATING THE SAME
FIELD OF THE INVENTION
The present invention relates to semiconductor devices that can be used in complementary metal-oxide-semiconductor (CMOS) circuits. More specifically, the present invention relates to a CMOS circuit that comprises at least one field effect transistor (FET) with a stressed channel region, as well as methods for forming the FET by crystallographic etching and pseudomorphic growth of stressor layers.
BACKGROUND OF THE INVENTION
In present semiconductor technology, CMOS devices, such as n-channel FETs (n-FETs) and p-channel FETs (p-FETs), are typically fabricated upon semiconductor wafers comprised of single crystal semiconductor materials.
In single crystal semiconductor materials, all lattice directions and lattice planes in a unit cell of a single crystal material can be described by a mathematical description known as a Miller Index. Specifically, the notation [hkl] in the Miller Index defines a crystal direction or orientation. FIG. 1 shows a single crystal silicon unit cell, which is a cubic cell. Certain crystal directions, such as [001], [100], [010], [110], and [111], are specifically indicated by arrowheads in the cubic unit cell. Moreover, the crystal planes or facets of a single crystal silicon unit cell are defined by the notation (hkl) in Miller Index, which refers to a particular crystal plane or facet that is perpendicular to the [hkl] direction. FIG. 2 illustratively shows the crystal planes (100), (110), and (111) of the single crystal silicon unit cells, which are respectively perpendicular to the [100], [110], and [111] directions. Further, because the unit cells are periodic in a semiconductor crystal, there exist families or sets of equivalent crystal directions and planes. The notation in the Miller Index therefore defines a family or set of equivalent crystal directions or orientations. For example, the directions include the equivalent crystal directions of [100], [010], and [001]; lhe directions include the equivalent crystal directions of [110], [011], [101], [-1-10], [0-1-1], [-10-1], [-110], [0-11], [-101], [1-10], [01-1], and [10-1]; and the
equivalent crystal directions of [111 ], [-111], [1-11], and [11-11. Similarly, the notation {hkl} defines a family or set of equivalent crystal planes or facets that are respectively perpendicular to the directions. For example, the {100} planes include the set of equivalent crystal planes that are respectively perpendicular to the directions.
Semiconductor wafers typically each has a substrate surface oriented along one of a single set of equivalent crystal planes of the single crystal semiconductor material (e.g., Si) that forms the wafers. In particular, most of today's semiconductor devices are built upon silicon wafers having wafer surfaces oriented along one of the J 100j crystal planes of silicon. However, electrons are known to have a high mobility along the [ 100| crystal planes of silicon, but holes are known to have high mobility along the {110} crystal planes of silicon. Specifically, hole mobility values along the {100} planes are roughly about 2 to 4 times lower than the corresponding electron hole mobility values along such planes. Furthermore, hole mobility values along the {110} silicon surfaces are about 2 times higher than those along the {100} silicon surfaces, but electron mobility along the {110} surfaces are significantly degraded compared to those along the {100} surfaces.
Therefore, there is a need for providing a semiconductor substrate having different surface orientations (i.e., hybrid surface orientations) that provide optimal performance for different devices.
Further, mechanical stresses within a semiconductor device substrate can also be used to modulate device performance. For example, in silicon, hole mobility is enhanced when the silicon film is under compressive stress in the film direction and/or under tensile stress in a direction normal of the silicon film, while the electron mobility is enhanced when the silicon film is under tensile stress in the film direction and/or under compressive stress in the direction normal of the silicon film. Therefore, compressive and/or tensile stresses can be advantageously created in the channel regions of a p-FET and/or an n-FET in order to enhance the performance of such devices.
However, the same stress component, cither compressive or tensile stress, discriminaiively affects the performance of a p-FET and an n-FET. In other words, compressive stress in the

source-drain direction and/or tensile stress in the direction normal of the gate dielectric layer enhances the performance of the p-FET, but adversely impacts the performance of the n-FET, while tensile stress in the source-drain direction and/or compressive stress in the direction normal of the gate dielectric layer enhances the performance of the n-FET, but adversely impacts the performance of the p-FET. Therefore, p-FET and n-FET require different types of stresses for performance enhancement, which imposes a challenge for concurrent fabrication of high performance p-FET and n-FET, due to the difficulty in concurrently applying compressive stress in the source-drain direction to the p-FET and tensile stress to the n-FET, or concurrently applying tensile stress in the direction normal of the gate dielectric surface to the p-FET and compressive stress lo the n-FET.
Embedded SiGe stressors have been used in the past to induce compressive strain in a p-FET channel region, consistent with the above-described approach. For example, a compressively stressed silicon channel layer can be formed between embedded SiGe stressors that are epitaxiaHy grown over a silicon substrate. The lattice constant of germanium is greater than that of silicon, as shown in FIG. 3. As a result, epitaxial growth of SiGe on a silicon substrate will yield SiGe stressor layers with compressive stress, and the compressively stressed SiGe stressors will in turn apply compressive stress to the channel region located therebetween. For another example, a tensilely stressed silicon channel layer can be formed between embedded Si:C stressors that are epitaxiaHy grown over a silicon substrate. Because the lattice constant of carbon is smaller than that of silicon, as. shown in FIG. 3, epitaxial growth of Si:C over a silicon substrate will yield Si:C stressor layers with tensile stress, and the tensilely stressed Si:C stressors will in turn apply tensile stress to the channel region located therebetween.
However, the embedded SiGe or Si:C stressors can only be used to enhance the electron or hole mobility of one type of FETs (either n-type or p-type), but they will deleteriously reduce the carrier mobility in the complementary FETs. Although complementary Si:C or SiGe stressors can be independently formed in the complementary FETs, the use of different stress-inducing materials for the p-FETs and the n-FETs requires additional processing steps, which will significantly increase the processing complexity as well as the manufacturing costs.

There is therefore also a need for using the same stress-inducing materials to generate different stresses (i.e., compressive and tensile) in the n-FETs and the p-FETs for respectively enhancing electron mobility and hole mobility therein.
SUMMARY OF THE INVENTION
The inventors of the present invention have discovered that stressor layers comprised of the same stress-inducing material may be used to induce different types of stress in a semiconductor device structure, by positioning or orienting such stressor layers differently.
In one aspect, the present invention relates to a semiconductor device comprising a FET wild a channel region located in a semiconductor device structure. Specifically, the semiconductor device structure has a top surface that is oriented along one of a first set of equivalent crystal planes and one or more additional surfaces that are oriented along a second, different set of equivalent crystal planes. One or more stressor layers (with intrinsic compressive or tensile stress) are located over the one or more additional surfaces of the semiconductor device structure and are arranged and constructed to apply stress (either tensile or compressive) to the channel region of the FET.
Preferably, but not necessarily, the semiconductor device structure comprises single crystal silicon, and the first and second set of equivalent crystal planes arc selected from the group consisting of the {100}, {110 J, and {111} planes of silicon.
In one specific embodiment of the present invention, the one or more stressor layers comprise SiGe and contain intrinsic compressive stress due to lattice mismatch between SiGe and Si, which is contained by the semiconductor device structure. When the one or more additional surfaces of the semiconductor device structure form acute angles with the top surface, the one or more stressor layers apply tensile stress to the channel region of the FET. Alternatively, when the one or more additional surfaces of the semiconductor device structure form obtuse angles with the top surface, the one or more stressor layers apply compressive stress to the channel region of the FET.

In an alternative embodiment of the present invention, the one or more stressor layers comprise Si:C and contain intrinsic tensile stress due to lattice mismatch between Si:C and Si, which is contained by the semiconductor device structure. When the one or more additional surfaces of the semiconductor device structure form acute angles with the top surface, the one or more stressor layers apply compressive stress to the channel region of the FET. Alternatively, when the one or more additional surfaces of the semiconductor device structure form obtuse angles with the top surface, the one or more stressor layers apply tensile stress to the channel region of the FET.
The semiconductor device structure of the present invention can be located over a substrate that comprises at least one insulator layer with a base semiconductor substrate layer thereunder, thereby forming a semiconductor-on-insulator (SOI) structure, or it can be located in a bulk semiconductor substrate structure. Further, the semiconductor device structure of the present invention may comprise a iloating semiconductor body that is spaced apart from any substrate structure.
In another aspect, the present invention relates to a semiconductor device comprising an n-channel field effect transistor (n-FET) having an n-doped channel region located in a semiconductor device structure. The semiconductor device structure has a top surface that is oriented along one of a first set of equivalent crystal planes and one or more additional surfaces that are oriented along a second, different set of equivalent crystal planes. One or more stressor layers with intrinsic compressive or tensile stress arc located over said one or more additional surfaces of the semiconductor device structure and are arranged and constructed to apply tensile stress to the n-doped channel region of the n-FET.
In one specific embodiment of the present invention, the one or more stressor layers comprise SiGe with intrinsic compressive stress therein, and the one or more additional surfaces of the semiconductor device structure preferably form acute angles with the top surface, so that the stressor layers apply tensile stress to the channel region of the n-FET. Alternatively, the one or more stressor layers comprise Si:C with intrinsic tensile stress therein, and the one or more additional surfaces of the semiconductor device structure form

obtuse angles with the top surface, so that the one or more stressor layers apply tensile stress to the channel region of the n-FET.
channel field effect transistor (p-FET) having a p-doped channel region located in a semiconductor device structure. The semiconductor device structure has a top surface oriented along one of a first set of equivalent crystal planes and one or more additional surfaces oriented along a second, different set of equivalent crystal planes. One or more stressor layers with intrinsic compressive or tensile stress are located over the one or more additional surfaces of the semiconductor device structure and are arranged and constructed to apply compressive stress to the p-doped channel region of the p-FET.
In one spcifie embodiment of the prcscnl in\ en l ion, I lie one or more stressor layers .■i.[i!|)i"i-,i. Sii ik- M. Iili intiiiisic compress; \ u -Aw -\ therein, an J ilu one in rem; a.lilin. -v! surfaces of the semiconductor device structure preferably form obtuse angles wiih the lop surface, so that the stressor layers apply compressive stress to the channel region of the p-FET. Alternatively, the one or more stressor layers comprise Si:C with intrinsic tensile stress therein, and the one or more additional surfaces of the semiconductor device structure form acute angles with the top surface, so that the one or more stressor layers apply compressive stress to the channel region of the p-FET.
In another aspect, the present invention relates to a method for forming a semiconductor device, comprising:
!i'rmin;i ;i semi conduct or de\ ice ^Irnelnre lia\ ing a lop stir! nee that is -inane!.! along one of a llrsl sel of equivalent er)slal planes ;ind one ur more additional surfaces that are oriented along a second, different set of equivalent crystal planes;
forming one or more stressor layers with intrinsic compressive or tensile stress over the one or more additional surfaces of the semiconductor device structure, wherein the one or more stressor layers are arranged and constructed to apply tensile or compressive stress to the semiconductor device structure; and
forming an field effect transistor (FET) with a channel region located in the semiconductor device structure.

Preferably, but not necessarily, the semiconductor device structure, as described hereinabove, is formed by:
forming a semiconductor device layer having at least a top surface oriented along one ofa first set of equivalent crystallographic planes;
selectively covering a portion of the semiconductor device layer;
anisotropically etching an uncovered portion of the semiconductor device layer to expose at least one of a bottom surface and one or more sidewall surfaces of the semiconductor device layer, the bottom surface and the sidewall surfaces are oriented along the first set of equivalent crystallographic planes; and
crystallographically etching the at least one of the bottom surface and sidewall surfaces of the semiconductor device layer to form one or more additional surfaces that are oriented along a second, different set of equivalent crystallographic planes.
The one or more additional surfaces formed by the crystallographic etching may form either acute or obtuse angles with the lop surface of the semiconductor device layer.
Further, the one or more stressor layer can be formed by epitaxially growing a semiconductor material having a lattice constant different from (i.e., either larger or smaller than) that of the semiconductor device structure, while the lattice mismatch between the stressor layers and the semiconductor device structure functions to generate corresponding stress in the stressor layers.
Other aspects, features and advantages of the invention will be more fully apparent from the ensuing disclosure and appended claims.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 shows a silicon crystal unit cell with certain crystal orientations specifically indicated by arrowheads.
FIG. 2 shows certain specific crystal planes in silicon crystal unit cells.

FIG. 3 illustrates crystal lattices of Si:C, Si, and SiGe and pseudomorphic growth of a second material layer having a smaller lattice constant (lattice 1) over a first material layer that has a larger lattice constant (lattice 2).
FIG. 4 is a simulated stress contour map that illustrates stress profiles around two SiGe structures embedded in a silicon substrate.
FIG. 5 is a cross-sectional view of an exemplary FET device with a channel region located in a trapezoidal semiconductor device structure with acute angles formed between a top surface and sidewall surfaces of the trapezoidal semiconductor device structure, according to one embodiment of the present invention.
FIGS. 6-9 illustrate exemplary processing steps that can be used for fabricating the FET device ofFIG. 5, according to one embodiment of the present invention.
FIGS. 10-12 iltustratcs exemplary processing steps that can be used for fabricating an exemplary FET device with a channel region located in a double trapezoid semiconductor device structure with acute angles formed between a top surface and sidewall surfaces of the double trapezoid semiconductor device structure, according to one embodiment of the present invention.
FIG. 13 is a cross-sectional view of an exemplary FET device with a channel region located in a trapezoidal semiconductor device structure with obtuse angles formed between a top surface and sidewall surfaces of the trapezoidal semiconductor device structure, according to one embodiment of the present invention.
FIGS. 14-16 illustrate exemplary processing steps that can be used for fabricating the FET device of FIG. 5, according to one embodiment of the present invention.
FIGS. 17-19 illustrate exemplary processing steps that can be used for forming an exemplary FET device with a channel region located in a trapezoidal semiconductor device structure,

which is similar to that shown by FIG. 13 but has significant undercut beneath the FET gate stack, according to one embodiment of the present invention.
FIGS. 20-23 illustrate exemplary processing steps for forming an FET device with a channel region located in an hourglass-shaped semiconductor device structure with acute angles formed between a top surface and sidewall surfaces of the hourglass-shaped semiconductor device structure, according to one embodiment of the present invention.
FIGS. 24-26 illustrate exemplary processing steps for forming an FET device with a channel region located in a semiconductor device structure that contains a floating semiconductor body with acute angles formed between a top surface and sidewall surfaces of the floating semiconductor body, according to one embodiment of the present invention.
DETAILED DESCRIPTION OF THE INVENTION, AND PREFERRED EMBODIMENTS THEREOF
In the following description, numerous specific details are set forth, such as particular structures, components, materials, dimensions, processing steps and techniques, in order to provide a thorough understanding of the present invention. However, it will be appreciated by one of ordinary skill in the art that the invention may be practiced without these specific details. In other instances, well-known structures or processing steps have not been described in detail in order to avoid obscuring the invention.
It will be understood that when an element as a layer, region or substrate is referred to as being "on" or "over" another clement, it can be directly on the other element or intervening elements may also be present. In contrast, when an clement is referred to as being "directly on" or "directly over" another element, there are no intervening elements present. It will also be understood that when an element is referred to as being "beneath" or "under" another element, it can be directly beneath or under the other element, or intervening elements may be present. In contrast, when an element is referred to as being "directly beneath" or "directly under" another element, there are no intervening elements present.

The (emi "equivalent crystal planes" as used in the present invention refers to a family of equivalent crystal planes or facets as defined by the Miller Indexes, as described hereinabove.
The term "Si:C" or "carbon-doped silicon" as used herein refers to a single crystal silicon having substitutional carbon atoms located therein. The substitutional carbon atoms and the silicon atoms form a silicon-carbon alloy, which is still a semiconductor material. The Si:C or carbon-doped silicon as used in the present invention is therefore distinguished from silicon carbide, which is a dielectric material that contains a carbon-silicon compound.
The present invention provides various configurations of semiconductor device structures with stressor layers that can be readily formed by crystallographic etching and pseudomorphic growth of semiconductor materials. Specifically, the semiconductor device structures and the stressor layers of the present invention can be arranged and constructed in various different manners lo provide different strain conditions in the channel regions of FET devices for achieving optimal device performance.
The inventors of the present invention have discovered that a specific stress-inducing structure embedded in a semiconductor substrate can induce different types of stresses, depending on the locations of the stress measurement point in relation to the stress-inducing structure.
For example, FIG. 4 shows the stress profile near two compressively stressed SiGe layers that are embedded in a silicon substrate. Two white dotted lines are drawn at the respective ends of the SiGe layers along directions that are perpendicular to the linear portions of such SiGc layers. Each compressively stressed SiGe layer induces tensile stress in the region located at the side of the white dotted line that is immediately adjacent to the linear portion of the SiGe layer, but it induces compressive stress in the region located at the other side of the white dotted line away from the linear portion of the SiGe layer, as shown in FIG. 4.
It can therefore be inferred that if a channel layer is formed in the silicon substrate of FIG. 4 within the region located at the side of the white dotted line that is immediately adjacent to



the linear portion of the SiGe layer, such a channel layer wifl contain tensile stress and is therefore suitable for forming an n-channcled FET due to enhanced electron mobility. However, if the channel layer is formed in the silicon substrate of FIG. 4 within the region located at the other side of the white dotted line away from the linear portion of the SiGe layer, such a channel layer will contain compressive stress and is suitable for forming a p-channeled FET instead, due to enhanced hole mobility.
Although FIG. 4 only shows the stress profile of compressively stressed SiGe layers that are embedded in a silicon substrate, a similar stress profile has been observed for tensilely stressed Si:C layers that are embedded in a silicon substrate, except that the types of stresses generated by the embedded Si:C layers are exactly opposite to those shown inFlG. 4. Specifically, the tensilely stressed Si:C layers will induce compressive stress in the regions located at the sides of the white dotted lines that are immediately adjacent to the linear portions of the Si:C layers, but they will induce tensile stress in the regions located at the other sides of the white dotted lines away from the linear portions of the Si:C layers.
In summary, embedded stressor layers containing a specific type of intrinsic stress (i.e., either compressive stress or tensile stress) can be used to create different types of stresses in different regions of the semiconductor substrate, depending on the spatial relations of such regions with respect to the embedded stressor layers. Therefore, by changing the relative positions of FET channel regions with respect lo the stressor iayers, the same type of stressor layers can be used to create different types of stresses in the FET channels. Correspondingly, the device performance of both n-FETs and p-FETs can be enhanced using the same type of stressor layers, with few or no additional processing steps.
FIG. 5 shows a cross-sectional view ofan exemplary FET device with a channel region located in a trapezoidal semiconductor device structure 14. The trapezoidal semiconductor device structure 14 is located above a substrate structure that comprises an insulator layer 12 and a base semiconductor substrate 10 and underneath a gate stack that comprises a gate dielectric layer 22, a gate conductor 24, a dielectric cap layer 26, and optional spacers 27 and 28. The trapezoidal semiconductor device structure 14 has an upper surface 14A that is in direct contact with the gate dielectric layer 22 and two slanted sidcwall surfaces 14B. Acute


angles are formed between the upper surface 14A and the sidewall surfaces 14,B of the trapezoidal semiconductor device structure 14. The FET channel (not shown) is defined by the gate stack and is therefore located in a portion of the trapezoidal semiconductor device structure 14 underneath the gate dielectric layer 22.
Two stressor layers 30, which contain intrinsic stresses of cither compressive or tensile type, are formed over the slanted sidewall surfaces 14B of (he trapezoidal semiconductor device structure 14, as shown in FIG. 5. Two dotted lines can be drawn at the respective ends of the stressor layers 30 along directions that are perpendicular to the linear portions of such stressor layers 30. As explained hereinabove, the stressor layers 30, which contain a specific type of intrinsic stress (either compressive or tensile) will create an opposite type of stress in regions of the trapezoidal semiconductor device structure 14 that are located at the sides of the dotted lines immediately adjacent to the linear portions of the stressor layers 30, and they will create the same type of stress in regions of the trapezoidal semiconductor device structure 14 that are located at the other sides of the dotted lines away from the linear portions of the stressor layers 30. Since most of the trapezoidal semiconductor device structure 14, including the portion directly underneath the gate dielectric layer 22, is located at the sides of the dotted lines immediately adjacent to the linear portions of the stressor layers 30, opposite type of stress will be created by the stressor layers 30 in most of the trapezoidal semiconductor device structure 14, including the portion directly underneath the gate dielectric layer 22.
Correspondingly, the FET channel (not shown), which is located in the portion of the trapezoidal semiconductor structure 14 directly underneath the gate dielectric layer 22, will have an opposite type of stress in comparison with the intrinsic stress contained by the stressor layers 30. For example, when the stressor layers 30 contain intrinsic compressive stress, the FET channel (not shown) will have tensile stress and is thus suitable Tor forming an n-channel in an n-FET due to enhanced electron mobility. Alternatively, when the stressor layers 30 contain intrinsic tensile stress, the FET channel (not shown) will have compressive stress created therein and is then suitable for forming a p-channel in a p-FET due to enhanced hole mobility.


FIGS. 6-9 illustrate exemplary processing steps that can be used for fabricating the FET device of FIG, 5, according to one embodiment of the present invention.
First, a patterned gate stack, which comprises a gate dielectric layer 22, a gate conductor layer 24, a dielectric cap layer 26, and optional spacers 27 and 28, is formed over a substrate structure 5, which preferably has a semiconductor-on-insulator (SOI) configuration and comprises a base semiconductor substrate 10, an insulator layer 12, and a semiconductor device layer 13, as shown in FIG. 6.
The base semiconductor substrate 10 may comprise any suitable semiconductor material, which includes, but is not limited to: Si, SiC, SiGe, SiGeC, Ge alloys, GaAs, InAs, InP, as well as other III-V or 11-VI compound semiconductors, either in their single crystalline or polycrystalline form. The base semiconductor substrate 10 may also comprise an organic semiconductor or a layered semiconductor such as Si/SiGc, a silicon-on-insulator (SOI) or a SiGe-on-insulator (SGOI), Preferably, the base semiconductor substrate 10 is composed of a Si-containing semiconductor material, i.e., a semiconductor material that includes silicon. More preferably, the base semiconductor substrate 10 consists essentially of bulk single crystal silicon. Alternatively, die base semiconductor substrate 10 may comprise one or more buried insulator layers (not shown) therein. The base semiconductor substrate layer 10 may be doped, undoped or contain both doped and undoped regions (not shown) therein.
The insulator layer 12 may comprise any suitable insulator material(s), and it typically comprises an oxide, a nitride, or an oxynitride in either a crystalline phase or a non¬crystalline phase. The physical thickness of the insulator layer 12 typically ranges from about 10 nm to about 400 nm, and more typically from about 20 nm to about 200 nm.
The semiconductor device layer 13 may comprise any single crystal semiconductor material, which includes, but is not limited lo: Si, SiC, SiGc, SiGeC, Gc alloys, GaAs, InAs, InP, as well as other III-V or II-VI compound semiconductors. Preferably, the semiconductor device layer 13 is composed of a Si-containing semiconductor material, i.e., a semiconductor material that includes silicon. More preferably, the semiconductor device layer 13 consists essentially of single crystal silicon and has an upper surface 13A that is oriented along one

of a first set of equivalent crystal planes of silicon. In one specific embodiment of the present invention, the upper surface 13A of the semiconductor device layer 13'is oriented along one of the {100} planes of silicon, so that the semiconductor device layer 13 can be used for forming a channel region for an n-FET device. In an alternative embodiment of the present invention, the upper surface 13A of the semiconductor device layer 13 is oriented along one of the |lI0f planes of silicon, so thai lhe semiconductor device layer 13 can be used for forming a channel region for a p-FET device. Note that the semiconductor device layer 13 and the base semiconductor substrate layer 10 may be formed of the same semiconductor material or different types of semiconductor materials.
The SOI substrate structure 5 as shown in FIG. 6 can be formed in situ by depositing the insulator layer 12 over the base semiconductor substrate layer 10 via chemical vapor deposition, thermal oxidation or a combination thereof, followed by deposition of the semiconductor device layer 13. Alternatively, the SOI substrate structure 5 of FIG. 6 can be formed »J situ by a silicon implanted oxide (SIMOX) process, during which oxygen ions are implanted into a bulk semiconductor substrate at a predetermined depth, followed by high temperature anneal to effectuate reaction between the semiconductor material and the implanted oxygen ions, thereby forming an oxide layer in the semiconductor substrate at the predetermined depth. Further, the SOI substrate structure 5 of FIG. 6 may be fabricated from pre-formed insulator and semiconductor layers by wafer-bonding or layer transfer techniques.
The gate dielectric layer 22 of the present invention may be comprised of any suitable dielectric material, including, but not limited to: oxides, nitrides, oxynitrides and/or silicates (including metal silicates and nitrided metal silicates). In one embodiment, it is preferred that the gate dielectric layer 22 is comprised of an oxide such as, for example, SiOi, Hft>2. Zr02, AhOi, TiOi, L^Oj, SrTiO^, LaAlCb, and mixtures (hereof. The physical thickness of the gale dielectric layer 22 may vary widely, depending on the specific deposition technique employed. Typically, the gate dielectric layer 24 has a thickness from about 0.5 to about 10 nm, with a thickness from about 1 to about 5 nm being more typical. The gate dielectric layer 22 can be formed by a thermal growing process such as, for example, oxidation, nitridation or oxynitridation. Alternatively, the gate dielectric layer 22 can be formed by a

deposition process such as, for example, chemical vapor deposition (CVD), plasma-assisted CVD, atomic layer deposition (ALD), evaporation, reactive sputtering, chemical solution deposition and other like deposition processes. The gate dielectric layer 22 may also be formed utilizing any combination of the above processes.
The gale conductor 24 and the optional dielectric cap layer 26 are formed over the gale dieleciric layer 22, by first depositing a blanket gate conductor layer (not shown) and a blanket dielectric capping layer (not shown) over the gate dielectric layer 22, followed by patterning the blanket gate conductor layer (not shown) and the dielectric capping layer (not shown) into the gate conductor 24 and the optional dielectric cap layer 26 using conventional lithography and etching. The lithography step, preferably inverse gate level (PC) lithography, includes applying a photoresist (not shown) to the upper surface of the blanket dielectric capping layer (not shown), exposing the photoresist (not shown) to a desired pattern of radiation and developing the exposed photoresist (not shown) utilizing a conventional resist developer. The pattern in the photoresist (not shown) is then transferred to the underneath dielectric capping layer (not shown), the blanket gate conductor layer (not shown), and the blanket gate dielectric layer (not shown) utilizing one or more dry etching slcps. Suitable dry etching processes thai can be used in Ihc present invention include, but are not limited to: reactive ion etching (RIE), ion beam etching, plasma etching or laser ablation. Preferably, but not necessarily, the gate conductor layer 24 comprises polycryslalline silicon (poly-Si), and the dielectric capping layer 26 comprises silicon nitride. The etching step preferably is carried out by RIE techniques. The patterned photoresist (not shown) is then removed by resist stripping after etching has been completed.
Although FIG. 6 shows formation of the gate stack before subsequent processing steps, such as etching, stressor deposition, and dopant implantation, it is also understood that a dummy gale (not shown) can be first formed in place of the gate stack as shown hereinabove in FIG. 6, and such a dummy gale (not shown) can then be replaced by a functional gale slack during a replacement gate process after the subsequent processing steps have been completed.
Next, an anisotropic etching step is carried out using the gate stack as a mask to pattern the semiconductor device layer 13, as shown in FIG. 7. The patterned semiconductor device

layer 13 contains exposed sidewalls 13B that are aligned with the gate stack, and such exposed sidewalls 13B are oriented along the same set of equivalent crystal planes as the upper surface 13A of the semiconductor device layer 13. Any suitable etching chemistry that selectively etches silicon over silicon oxide and silicon nitride in an anisotropic manner can be used for patterning the semiconductor layer 33.
Preferably, but not necessarily, the anisotropic etching of the semiconductor device layer 13 is carried out by using one or more dry-etching processes, such as reactive ion etching (RJE), sputter etching, vapor phase etching, ion beam etching, plasma etching, and laser ablation. The dry-etching processes are directional (i.e., anisotropic), but they are mostly non¬selective to different crystal planes or orientations, i.e., they etch the semiconductor material in approximately equal rates along all directions. In a particularly preferred embodiment of the present invention, the semiconductor device layer 13 is patterned using a reactive ion etching (RIE) process.
A lateral etching step is subsequently carried out to selectively removing a potion of the insulator layer 12 from underneath the patterned semiconductor layer 13, thereby forming undercut regions 2 and exposing portions of a lower surface 13C of the patterned semiconductor device layer 13, as shown in FIG. 8. The lateral etching step can be carried out using any suitable etching chemistry that selectively etches the insulator material contained by layer 12 over the semiconductor material contained by the semiconductor device layer 13.
After formation of the undercut regions 2 and exposure of the lower surface 13Cof the patterned semiconductor device layer 13, a crystaliographic etching step is carried out to etch the exposed sidewall surfaces 13B and the exposed portions of the lower surface 13C of the patterned semiconductor device layer 13.
Crystaliographic etching of the semiconductor device layer 13 is preferably carried out by one or more wet-etching processes, which employ etching solutions such as hydroxide-based etching solutions, ethylene diamine pyrocatechol (EDP)-based etching solutions, etc., to etch the semiconductor device layer 13 at significantly different rates along different crystal

planes or orientations. Therefore, the crystal lographic etching is an isotropic process, but the etching pattern formed by the crystal lographic etching process proceeds along the fast-etched crystal planes and is eventually terminated by the slowly etched crystal planes.
For example, an etching solution that comprises approximately 23.4% KOH, 13.3% isopropyl alcohol (IPA), and 63.3% water, when heated to about 80°C, etches the single crystal silicon at an etching rate of about 1.0 um/minutc along the {100} planes, but at an etching rale of about 0.06 um/minule along the [110} planes. In other words, this etching solution etches the {100} planes about 17 limes faster than the J110] planes. Therefore, such an etching solution can be used to etch a silicon substrate to form a recess that is terminated at the {110} planes.
In contrast, an etching solution that comprises approximately 44% KOH and 56% water, when heated to about 120°C, etches the single crystal silicon at an etching rate of about 11.7 um/minute along the {110} planes, about 5.8 pm/minute along the {100} planes, and about 0.02 pm/minute along the {111} planes. In other words, this etching solution etches the {110} and {100} planes significantly faster than the {111} planes (more than 550 and 250 Limes faster, respectively). Therefore, such an etching solution can be used to clch a silicon substrate to form a recess that is terminated at the {1111 planes.
In the present invention, the crystallographic etching step is carried out using an etching chemistry that etches the crystal planes of the exposed lower surface 13C and the sidewall surfaces 13B of the semiconductor device layer 13 at an etching rate faster than other crystal planes, so that the crystallographic etching terminates along a set of crystal planes that is different from the lower surface 13C and the sidewall surfaces 13B. As specifically illustrated by FIG. 9, the semiconductor device layer 13 is crystallographically etched to form a trapezoidal semiconductor device structure 14 with an upper surface 14A oriented along a first set of crystal planes and sidewall surfaces 14B oriented along a second, dilTcrcnt set of crystal planes. The second, different set ofcryslal planes arc slanied away from the first set ofcryslal planes, and acute angles arc formed between the upper surface 14A and the sidewall surfaces 14B of the trapezoidal semiconductor device structure 14.

Note that although dry-etching is typically used for anisotropic etching, certain dry-etching techniques, such as RIE, can also be used for the crystallographic etching. In RIE, the substrate is placed inside a reactor in which several gases are introduced. A plasma is introduced in the gas mixture using an radio-frequency (RF) power source, breaking the gas molecules into ions. The ions are accelerated towards, and react at, the surface of the material being etched, forming another gaseous material. This is known as the chemical part of reactive ion etching, which is isotropic. The RIE also has a physical aspect; if the ions have high enough energy, the ions can knock atoms out of the material to be etched without a chemical reaction. The physical etching aspect of RIE is high anisotropic. Therefore, RIE is a complex process that involves both chemical and physical etching. By carefully adjusting (he balance between the chemicals aspect and the physical aspect of RIE, this process can be used to achieve either anisotropic or crystallographic etching results. Similarly, although wet-etching is typically used for the crystallographic etching, certain wet-etching chemistries can also be used to achieve anisotropic etching results.
Therefore, the present invention is not limited to the use of dry-etching for the anisotropic etching process and the use of wet-etching for the crystallographic etching process, but encompasses all suitable etching processes and techniques that can be used to achieved the desired anisotropic and crystallographic results as described hereinabove.
After formation of the trapezoidal semiconductor device structure 14, an epitaxial growth step can be carried out to pseudomorphically grow the stressor structures 30 along the slanted sidewalls 14B of the trapezoidal semiconductor device structure 14 so as to form the FET device structure in FIG. 5.
The stressor structures 30 may comprise any semiconductor material having a lattice constant different from that of the trapezoidal semiconductor device structure 14, so that lattice mismatch between the stressor structures 30 and the semiconductor device structure 14 can generate tensile or compressive stress in the stressor structures 30 as well as in the semiconductor device structure 14, as described hereinabove. For example, when the stressor structures 30 contain SiGe, compressive stress will be created in the stressor structures 30, while tensile stress will be created in the FET channel (not shown) located in
l

the trapezoidal semiconductor device structure 14. [n this manner, the trapezoidal semiconductor device structure 14 is suitable for forming an n-channel in an n-FET. Alternatively, when the stressor structures 30 contain Si:C, tensile stress will be created in the stressor structures 30, while compressive stress will be created in the FET channel (not shown) located in the trapezoidal semiconductor device structure 14. In this manner, the trapezoidal semiconductor device structure 14 is suitable for forming an p-channel in a p-FET.
Additional CMOS processing steps, such as source/drain extension implantation, source/drain implantation, salicidation, etc., can be further carried out to form a complete FET (either n-channel or p-channel) device structure, which contain a channel region with the desired stress (either tensile or compressive).
FIGS. 10-12 illustrates exemplary processing steps lhat can be used for fabricating an exemplary FET device with a channel region located in a double trapezoid semiconductor device structure with acute angles formed between a top surface and sidewall surfaces of the double trapezoid semiconductor device structure, according to one embodiment of the present invention.
Specifically, after the anisotropic patterning of the semiconductor device layer 13, a lateral etching step is carried out to form relatively small undercut regions 2 (in comparison with those shown in FIG. 8), so that the subsequent crystallographic etching of the semiconductor device layer 13 forms a double trapezoid semiconductor device structure 14 with an upper surface 14A and sidewall surfaces 14B, as shown in FIGS. 10-11. Upper portions of the sidewall surfaces 14B still form acute angles with the upper surface 14A of the double trapezoid semiconductor device structure 14, as shown in FIG. 11, although lower portions of the sidewall surfaces 14B now flare out to form a base for the double trapezoid semiconductor device structure 14. An epitaxial growth step can then be carried out to pscudomorphically grow stressor structures 30 along both the upper and lower portions of ihcsidewaMs 14B oflhc double trapezoidal semiconductor device slmclurc 14, as shown in FIG. 12.

FIG. 13 is a cross-sectional view of an exemplary FET device with a channel region located in a trapezoidal semiconductor device structure 15, according to one embodiment of the present invention. The trapezoidal semiconductor device structure 15 of FIG. 13 is similar to the trapezoidal semiconductor device structure 14 of FIG. 5, except that obtuse (instead of acute) angles are formed between a lop surface 15A and sidewall surfaces 15B of the trapezoidal semiconductor device structure 15.
The stressor layers 30 are formed over the slanted sidewall surfaces 14B of the trapezoidal semiconductor device structure 15, as shown in FIG. 13. Two doited lines can be drawn at the respective ends of the stressor layers 30 along directions that are perpendicular to the linear portions of such stressor layers 30. As explained hereinabove, the stressor layers 30, which contain a specific type of intrinsic stress (either compressive or tensile) will create an opposite typeof stress in regions of the trapezoidal semiconductor device structure 15 that are located at the sides of the dotted lines immediately adjacent to the linear portions of the stressor layers 30, and they will create the same type of stress in regions of the trapezoidal semiconductor device structure ] 5 thai are located at the other sides of the dotted lines away from the linear portions of the stressor layers 30. Since most of the trapezoidal semiconductor device structure 15, including the portion directly underneath the gate dielectric layer 22, is located at the sides of the dotted lines away from the linear portions of the stressor layers 30, same typeof stress will be created by the stressor layers 30 in most of the trapezoidal semiconductor device structure 15, including the portion directly underneath the gate dielectric layer 22.
Correspondingly, the FET channel (not shown), which is located in the portion of the trapezoidal semiconductor structure 15 directly underneath the gate dielectric layer 22, will have the same type of stress as that contained by the stressor layers 30. For example, when the stressor layers 30 contain intrinsic compressive stress, the FET channel (not shown) will have compressive stress and is thus suitable for forming a p-channel in a p-FET due to enhanced hole mobility. Alternatively, when the stressor layers 30 contain intrinsic tensile stress, the FET channel (not shown) will have tensile stress created therein and is then suitable for forming an n-channel in an n-FET due to enhanced electron mobility.

The trapezoidal semiconductor device structure 15 of FIG. 13 can be readily formed by exemplary processing steps of FIGS. 14-16, according to one embodiment of the present invention.
First, a patterned gate stack, which comprises a gate dielectric layer 22, a gate'conductor layer 24, a dielectric cap layer 26, and optional spacers 27 and 28, is formed over a substrate structure 5, which preferably has a semiconductor-on-insulator (SOI) configuration and comprises a base semiconductor substrate 10, an insulator layer 12, and a semiconductor device layer 13, as shown in FIG. 14. Next, an anisotropic etching step is carried out lo pattern the semiconductor device layer 13, while a portion of the upper surface 13A and the sidewall surfaces 13B of the device layer 13 are exposed, as shown in FIG. 15. Subsequent crystallographic etching of such a patterned semiconductor device layer 13 thus forms the trapezoidal semiconductor device structure 15 with obtuse angles formed between the upper surface 15A and the sidewall surfaces 15B, as shown in FIG. 16. Pseudomorphic growth of stressor structures 30 over the sidewall surfaces 15B of the trapezoidal semiconductor device structure 15 will form the FET device as shown in FIG. 13.
FIGS. 17-19 illustrate exemplary processing steps that can be used for forming another exemplary FET device with a channel region located in a trapezoidal semiconductor device structure, which is similar to that shown by FIG. 13 but has significant undercut beneath the FET gate stack, according lo one embodiment of the present invention. Specifically, (he semiconductor device layer 13 is patterned by an anisotropic etching step, while a relatively smaller portion (in comparison with that shown in FIG. 15) of the upper surface 13 A of the layer 13 is exposed, as shown in FIG. 17. Subsequent crystallographic etching of such a patterned semiconductor device layer 13 thus forms a trapezoidal semiconductor device structure 15 that undercuts the gate stack, as shown in FIG. 18. Pseudomorphic growth of stressor structures 30 over the sidewall surfaces 15B of the trapezoidal semiconductor device structure 15 of FIG. 18 will form the FET device as shown in FIG. 19.
FIGS. 20-23 illuslralc exemplary processing steps for forming an FET device with a channel region located in an hourglass-shaped semiconductor device structure, according to one embodiment of the present invention. Specifically, a patterned gate stack, which comprises

a gate dielectric iayer 22, a gate conductor layer 24, a dielectric cap layer 26, and optional spacers 27 and 28, is first formed over a bulk semiconductor substrate structure 13 that has an upper surface 13A, as shown in FIG. 20. An anisotropic etching step is then carried out to form trenches 11 with exposed trench sidewalls 13B in the bulk semiconductor substrate structure 13, as shown in FIG. 21. Subsequent crystallography etching of the .bulk semiconductor substrate structure 13 along the trenches 11 thus forms a hourglass-shaped semiconductor device structure 3 6 with an upper surface 16A and sidewall surfaces 16B, while acute angles are formed between the top surface 16A and upper portions of the sidcwall surfaces 16B, as shown in FIG. 22. Pseudomorphic growth ofstrcssor structures 30 over ihe sidewall surfaces I6B of the hourglass-shaped semiconductor device structure 16 of FIG. 12 will form the FET device as shown in FIG. 23.
FIGS. 24-26 illustrate exemplary processing steps for forming an FET device with a channel region located in a semiconductor device structure that contains a floating semiconductor body, according to one embodiment of the present invention.
Specifically, a patterned gate stack, which comprises a gate dielectric layer 22, a gate conductor layer 24, a dielectric cap layer 26, and optional spacers 27 and 28, is formed over a substrate structure 5, which preferably has a semiconductor-on-insulator (SOI) configuration and comprises a base semiconductor substrate 10, an insulator layer 12, and a semiconductor device layer 13. The semiconductor device layer 13 has ;in upper surface 13A, and an anisotropic etching step is carried out to form trenches 11 with exposed trench sidewalls 13B in the bulk semiconductor substrate structure 13, as shown in FIG. 24A. FIG. 24B shows a top view of the structure shown by FIG. 24A. Specifically, the patterned gate stack extends beyond the active region defined by the semiconductor device layer 13 to the adjacent isolation regions 9.
The adjacent isolation regions 9 provide the necessary structural support for the gate stack, so that the semiconductor device layer 13 can be crystallographically etched to form a semiconductor device structure 17 that comprises a floating upper portion directly underneath the gale stack and a lower portion that is located over the insulator layer 12 but is disconnected from the floating upper portion, as shown in FIG. 25A. The floating upper

portion of the semiconductor device structure 17 has an upper surface 17A and sidewaii surfaces 17B, while acute angles are formed between the upper surface 17A and the sidewaii surfaces 17B. FIG. 25B shows a top view of the structure of FIG. 24A. The floating upper portion of the semiconductor device structure 17 is visible through the gate stack in FIG. 25B. Pseudomorphic growth of stressor structures 30 over the sidewaii surfaces 17B of the semiconductor device structure 17 of FIG. 25A will form the FET device as shown in FIG. 26.
Note that while Figures 5-26 illustratively demonstrate exemplary CMOS device structures and processing steps according to specific embodiments of the present invention, it is clear that a person ordinarily skilled in the art can readily modify such device slruclures and processing steps for adaptation to specific application requirements, consistent with the above descriptions. For example, while the semiconductor substrates shown in Figures 5-26 illustrate semiconductor substrates with upper surfaces oriented along the {110} or {100} crystal planes of single crystal silicon, other suitable crystal planes, such as the {111}, {211}, {311}, {511}, and {711} planes of single crystal silicon, can also be used in the semiconductor substrates of the present invention. Moreover, other single crystal semiconductor substrate materials with non-cubic unit cells, such as single crystal gallium nitride having hexagonal unit cells, can also be used for fabricating the CMOS devices of the present invention. A person ordinarily skilled in the art can readily modify the device ^Iruclures and processing slcps illustrated in Figures 5-26 for adaptation In nthrr subslmle structures, crystal orientations, or semiconductor materials, consistent with the spirit and principles of the present invention.
While the invention has been described herein with reference to specific embodiments, features and aspects, it will be recognized that the invention is not thus limited, but rather extends in utility to other modifications, variations, applications, and embodiments, and accordingly all such other modifications, variations, applications, and embodiments are to be regarded as being within the spirit and scope of the invention.


CLAIMS
A semiconductor device comprising an n-channel or p-channel field effect transistor (n-FET) having an n-doped or p-doped channel region located in a semiconductor device structure, said semiconductor device structure having a top surface that is oriented along one of a first set of equivalent crystal planes and one or more additional surfaces that are oriented along a second, different set of equivalent crystal planes, wherein one or more stressor layers are located over said one or more additional surfaces of the semiconductor device structure and are arranged and constructed to apply tensile stress to the n-doped or p-doped channel region of the FET.
The semiconductor device of claim 1, wherein the one or more stressor layers have a different lattice constant from the semiconductor device structure, so that either compressive or tensile stress is created in the stressor layers due to lattice mismatch between the stressor layers and the semiconductor device structure.
The semiconductor device of claim 1, wherein the one or more stressor layers have a lattice constant larger than that of the semiconductor device structure, so that compressive stress is created in the stressor layers.
The semiconductor device of claim 3, wherein the one or more additional surfaces form acute angles with the top surface of the semiconductor device structure, and said one or more stressor layers with intrinsic compressive stress are located over said one or more additional surfaces of the semiconductor device structure and are arranged and constructed to apply tensile stress to the n-doped or p-doped channel region of the n-FET.
The semiconductor device of claim 1, wherein the one or more stressor layers have a lattice constant smaller than that of the semiconductor device structure, so that tensile stress is created in the stressor layers.

The semiconductor device of claim 5, wherein the one or more additional surfaces form obtuse angles with the top surface of the semiconductor device structure, and said one or more stressor layer with intrinsic tensile stress are located over said one or more additional surfaces of the semiconductor device structure and are arranged and constructed to apply tensile stress to the n-doped channel region of the n-FET.
The semiconductor device of claim 1, wherein the semiconductor device structure comprises single crystal silicon, and the first and second sets of equivalent crystal planes are selected from the group consisting of the {100}, {110}, and {111} planes of silicon.
A method for forming a semiconductor device, the method comprising:
forming a semiconductor device structure having a top surface that is oriented along one of a first set of equivalent crystal planes and one or more additional surfaces that are oriented along a second, different set of equivalent crystal planes;
forming one or more stressor layers over said one or more additional surfaces of the semiconductor device structure, wherein said one or more stressor layers are arranged and constructed to apply stress to the semiconductor device structure wherein the one or more stressor layers are formed by epitaxially growing a semiconductor material having a lattice constant different from the semiconductor device structure; and
forming a field effect transistor (FET) with a channel region located in the semiconductor device structure.
The method of claim 8, wherein the semiconductor device structure is formed by:
forming a semiconductor device layer having at least a top surface oriented along one of a first set of equivalent crystallographic planes;
selectively covering a portion of the semiconductor device layer;
anisotropically etching an uncovered portion of the semiconductor device layer to expose at least one of a bottom surface and one or more sidewall surfaces of the semiconductor device layer, said bottom surface and said sidewall surfaces are oriented along the first set of equivalent crystallographic planes; and

crystallographically etching the at least one of the bottom surface and sidewall surfaces of the semiconductor device layer to form one or more additional surfaces that are oriented along a second, different set of equivalent crystal lographic planes.
The method of claim 9, wherein the one or more additional surfaces form acute or an obtuse angles with the top surface of the semiconductor device layer.

Documents:

http://ipindiaonline.gov.in/patentsearch/GrantedSearch/viewdoc.aspx?id=1rCSOkszHbuIdnPVnmn6ng==&loc=egcICQiyoj82NGgGrC5ChA==


Patent Number 269466
Indian Patent Application Number 486/CHENP/2009
PG Journal Number 44/2015
Publication Date 30-Oct-2015
Grant Date 23-Oct-2015
Date of Filing 27-Jan-2009
Name of Patentee INTERNATIONAL BUSINESS MACHINES CORPORATION
Applicant Address ARMONK, NEW YORK 10504
Inventors:
# Inventor's Name Inventor's Address
1 DYER, THOMAS 110 ROCK LEDGE DRIVE, PLEASANT VALLEY, NY 12569
2 YANG, HAINING 36 ROBINSON LANE, WAPPINGERS FALLS, NY 12590
3 CHEN, XIANGDONG 39 BUSH CREEK LANE, POUGHQUAG, NY 12570
4 SETTLEMEYER, KENNETH 609 49TH STREET WEST, BRADENTON, FL 34209
PCT International Classification Number H01L 21/8238
PCT International Application Number PCT/EP07/54932
PCT International Filing date 2007-05-22
PCT Conventions:
# PCT Application Number Date of Convention Priority Country
1 11/427,495 2006-06-29 U.S.A.