Title of Invention

HIGH-QUALITY SGOI BY ANNEALING NEAR THE ALLOY MELTING POINT

Abstract HIGH-QUALITY SGOI BY ANNEALING NEAR THE ALLOY MELTING POINT A method of forming a low-defect, substantially relaxed SiGe-on-insulator substrate material is provided. The method includes first forming a Ge-containing layer on a surface of a first single crystal Si layer which is present atop a barrier layer that is resistant to Ge diffusion. A heating step is then performed at a temperature that approaches the melting point of the final SiGe alloy and retards the formation of stacking fault defects while retaining Ge. The heating step permits interdiffusion of Ge throughout the first single crystal Si layer and the Ge-containing layer thereby forming a substantially relaxed, single crystal SiGe layer atop the barrier layer. Moreover, because the heating step is carried out at a temperature that approaches the melting point of the final SiGe alloy, defects that persist in the single crystal SiGe layer as a result of relaxation are efficiently annihilated therefrom. In one embodiment, the heating step includes an oxidation process that is performed at a temperature from about 1230° to about 1320°C for a time period of less than about 2 hours. This embodiment provides SGOI substrate that have minimal surface pitting and reduced crosshatching.
Full Text

HIGH-QUALITY SGOI BY ANNEALING NEAR THE ALLOY
MELTING POINT
DESCRIPTION
Field of the Invention
[0001] The present invention relates to a method of fabricating a semiconductor substrate material, and more particularly to a method of fabricating a low-defect, substantially relaxed SiGe-on-insulator (SGOI) substrate material. In one embodiment, a method is provided that can form a low-defect, substantially relaxed SGOI substrate in which surface pitting and crosshatching have been substantially reduced. The low-defect, substantially relaxed SiGe-on-insulator substrate material of the present invention can be used as a lattice mismatched template for creating a strained Si layer thereon by subsequent Si epitaxial overgrowth. Such a substrate material is desired for the enhanced charge carrier transport properties within a strained Si layer and is a candidate for future high performance complementary metal oxide semiconductor (CMOS)

amplications. The present invention is also directed to a SiGe-on-insulator substrate material as well as structures which include at least the substrate material.
Background of the Invention
[0002] In the semiconductor industry, there has recently been a high-level of activity using strained Si-based heterostructures to achieve high mobility structures for CMOS applications. Traditionally, the prior art method to implement this has been to grow strained Si layers on thick (on the order of from about 1 to about 5 micrometers) relaxed SiGe buffer layers.
[0003] Despite the high channel electron mobilities reported for prior art heterostructures, the use of thick SiGe buffer layers has several noticeable disadvantages associated therewith. First, thick SiGe buffer layers are not typically easy to integrate with existing Si-based CMOS technology. Second, the defect densities, including threading dislocations (TDs) and misfit dislocations, are from about 105 to about 108 defects/cm2 which are still too high for realistic VSLI (very large scale integration) applications. Thirdly, the nature of the prior art structure precludes selective growth of the SiGe buffer layer so that circuits employing devices with strained Si, unstrained Si and SiGe materials are difficult, and in some instances, nearly impossible to integrate.
[0004] In order to produce relaxed SiGe material on a Si substrate, prior art methods typically grow a uniform, graded or stepped, SiGe layer to beyond the metastable critical thickness (i.e., the thickness beyond which dislocations form to relieve stress) and allow misfit dislocations to form, with the associated threading dislocations, through the SiGe buffer layer. Various buffer structures have been used to try to increase the length of the misfit dislocation section in the structures and thereby to decrease the TD density.
[0005] In addition to the TDs that form during the relaxation process, stacking faults (SF) have been observed to form under certain strain and annealing conditions; see R.

Hull and J.C. Bean, J. Vac. Sci. TechnoL, Vol. 7 (4), 1989, pg. 2580. It is believed that the presence of a SF defect within a semiconductor material represents a more significant threat to proper electrical behavior of the material compared to an isolated TD.
[0006] Another prior art approach, such as described in U.S. Patent Nos. 5,461,243 and 5,759,898, both to Ek, et al., provides a structure with a relaxed and reduced defect density semiconductor layer wherein a new strain relief mechanism operates whereby the SiGe buffer layer relaxes while reducing the generation of TDs within the SiGe layer.
[0007] Co-pending and co-assigned U.S. Patent Application Serial No. 10/055,138, filed January 23, 2002, entitled "Method of Creating High-Quality Relaxed SiGe-On-Insulator for Strained Si CMOS Applications" provides a unique way of fabricating high-quality, substantially relaxed SiGe-on-insulator substrates. In the '138 application, a high-quality, substantially relaxed SiGe alloy layer is formed atop a first single crystal Si layer by first forming a SiGe or pure Ge layer on the surface of the first single crystal Si layer, which is present atop a barrier layer that is resistance to Ge diffusion, and then heating the layers at a temperature that permits interdiffusion of Ge throughout the first single crystal Si layer and the SiGe or pure Ge layer thereby forming a substantially relaxed, single crystal SiGe layer atop the barrier layer. The heating step is performed at various temperatures and a statement is made therein that a tailored heat cycle that is based upon the melting point of the SiGe layer may be used. Specifically, the ' 138 application states that "the temperature is adjusted to tract below the melting point of the SiGe layer".
[0008] The '138 application provides no specifics about the tailored heat cycle, other than the temperature is adjusted below the melting point of the SiGe. Additionally, no recognition was made in that disclosure which indicates that the tailored heat cycle could be used as a means of substantially reducing the residual defect density in the SiGe alloy

layer. Moreover, the disclosure of the * 138 application does not recognize that the bulk of the oxidation anneal process should be carried out at or near the melting point of SiGe. Furthermore, the disclosure of the '138 application does not recognize that the temperature of the tailored heating cycle could be adjusted so as to eliminate specific types of defects.
[0009] SGOI substrates formed using the thermal mixing process disclosed in the '138 application rely on a high-temperature oxidation to form a homogeneous SiGe alloy over an insulating layer. Under certain conditions, the surface of the SiGe alloy will begin to form micropits (i.e., microdivots) that can degrade the surface quality of the material. Inasmuch as SGOI substrates are used as a template for fabricating strained Si high-performance CMOS devices, it is imperative that the surface quality of the relaxed SiGe alloy layer be made as high as possible, in terms of smoothness and low defect density artifacts.
Summary of the Invention
[0010] One object of the present invention is to provide a method of fabricating thin, high-quality, substantially relaxed SiGe-on-insulator substrate materials in which the SiGe layer has a low-defect density, on the order of about 10 defects/cm or less.
[0011] Another object of the present invention is to provide a method of fabricating thin, high-quality, substantially relaxed SiGe-on-insulator substrate materials in which a heating step is employed that is capable of substantially reducing the threading defect density in the SiGe alloy layer.
[0012] Still another object of the present invention is to provide a method of fabricating thin, high-quality, substantially relaxed SiGe-on-insulator substrate materials in which a heating step is employed that is capable of substantially reducing (compared to prior art methods) the stacking fault defect density in the SiGe alloy layer.

[0013] A further object of the present invention is to provide a method of fabricating thin, high-quality, substantially relaxed SiGe-on-insulator substrate materials in which a heating step is performed under conditions that efficiently annihilate existing defects, while retarding the formation of certain defects in the SiGe alloy layer as a result of relaxation of the initially strained SiGe.
[0014] A yet further object of the present invention is to provide a method of fabricating thin, high-quality, low-defect density, substantially relaxed SiGe-on-insulator substrate materials, which is compatible with CMOS processing steps.
[0015] An even further object of the present invention is to provide a method of fabricating thin, high-quality, low-defect density, substantially relaxed SiGe-on-insulator substrate materials which can be used as lattice mismatched templates, i.e., substrates, for forming strained Si layers.
[0016] A still further object of the present invention is to provide strained Si/substantially relaxed SiGe-on-insulator structures that have high carrier mobility and are useful in high-performance CMOS applications.
[0017] An additional object of the present invention is to provide a method of creating a SGOI substrate having minimized surface pitting and reduced crosshatching as compared to prior art SGOI substrates made by the thermal mixing process of the '138 application.
[0018] These and other objects and advantages are achieved in the present invention by utilizing a method which includes first forming a Ge-containing layer, such as a SiGe alloy or pure Ge, on a surface of a first single crystal Si layer, said first single crystal Si layer is present atop a barrier layer that is resistant to Ge diffusion; and thereafter a heating step is performed at a temperature that approaches (i.e., at or near) the melting point of the SiGe alloy while retaining Ge, but not less than the temperature required to

retard SF defect generation; the variation from the actual melting point of a specific Ge content SiGe alloy may be slightly less, on the order of-50°C, from the actual melting point of the SiGe alloy while greater than about 1230°C to retard SF generation.
[0019] The heating step of the present invention permits interdiffusion of Ge throughout the first single crystal Si layer and the Ge-containing layer thereby forming a substantially relaxed, single crystal SiGe layer atop the barrier layer. Moreover, because the heating step of the present is carried out at a temperature that approaches the melting point of the final single crystal SiGe layer, defects that persist in the single crystal SiGe layer as a result of relaxation are efficiently annihilated therefrom. Oxidation performed at temperatures > 1230°C also retards the formation of SF defects within the SiGe layer. It is noted that the substantially relaxed, single crystal SiGe layer is comprised of a homogeneous mixture of the Ge-containing layer as well as the first single crystal Si layer.
[0020] In one embodiment of the present invention, it has been determined that a high-quality, substantially relaxed SGOI substrate having improved surface characteristics (minimal surface pitting and reduced crosshatching) can be produced by utilizing a heating step in which the oxidation is performed at a temperature from about 1230° to about 1320°C for a time period of less than about 2 hours. The term "crosshatching" is used throughout the present application to denote the high frequency component of the surface roughness (power spectrum) as measured by Atomic Force Microscopy (AFM).
[0021] When this embodiment of the present invention is employed, the present invention achieves an advantage over the prior art by minimizing surface pitting which occurs when SGOI substrates are formed by prolonged oxidation or annealing at high temperatures (greater than 1230°C). Specifically, an oxidation temperature within the range of about 1230° to about 1320°C was found to be sufficient to increase the surface mobility of the Si and Ge atoms to an extent where the surface crosshatching which

results from the relaxation of the SiGe is reduced. The subsequent pitting which occurs as an undesirable side effect of the increased surface mobility is minimized by reducing the oxidation time at high oxidation temperatures. By using this particular embodiment of the present invention, it is possible to form high-quality SGOI substrates with minimal surface pitting and reduced crosshatching.
[0022] Following the above steps of the present invention, a strained Si layer may be grown epitaxially atop the substantially relaxed single crystal SiGe layer to form a strained Si/relaxed SiGe-containing heterostructure that can be used in a variety of high-performance CMOS applications.
[0023] In some embodiments of the present invention, the first single crystal Si and barrier layer are components of a silicon-on-insulator (SOI) substrate. In other embodiments, the barrier layer is formed atop a surface of a semiconductor substrate, and thereafter the first single crystal Si layer is formed atop the barrier layer. The latter substrate material is a non-SOI substrate.
[0024] The present method also contemplates the use of barrier layers that are unpatterned (i.e., barrier layers that are continuous) or patterned (i.e., discrete and isolated barrier regions or islands which are surrounded by semiconductor material).
[0025] In yet another embodiment of the present invention, a Si cap layer is formed atop the Ge-containing layer prior to heating the structure at or near the melting point of the final SiGe layer. This embodiment of the present invention alters the thermodynamic stability (in terms of preventing defect production) of the SiGe layer before annealing. The SiGe layer has a thickness of about 2000 nm or less, with a thickness of from about 10 to about 200 nm being more highly preferred.
[0026] In still yet another embodiment of the present invention, an ion implantation step may be performed prior to heating.

[0027] Another aspect of the present invention relates to the SiGe-on-insulator substrate material that is formed utilizing the above-mentioned processing steps. Specifically, the inventive substrate material comprises a Si-containing substrate; an insulating region that is resistant to Ge diffusion present atop the Si-containing substrate; and a substantially relaxed SiGe layer present atop the insulating region, wherein the substantially relaxed SiGe layer has a thickness of about 2000 nm or less and a defect density of about 10 defects/cm or less.
[0028] In the embodiment in which the oxidation is performed at a temperature from about 1230° to about 1320°C for a time period of less than about 2 hours, a SGOI substrate is formed in which the surface roughness is less than about 15 A RMS. Such a surface roughness is indicative of a SGOI substrate that has minimal surface pitting and reduced crosshatching. By "minimal surface pitting" it is meant a SiGe surface having a pit depth that is below 30 A and a width that is below 300 nm. The term "minimized crosshatching" denotes a SiGe surface that has a reduced component of the roughness caused by surface steps (formed by dislocation movement during relaxation of the SiGe layer) as compared to SGOI formed at 1200°C and below. The surface pitting and crosshatching can be determined by AFM (Atomic Force Microscopy) or other related techniques.
[0029] A yet further aspect of the present invention relates to a heterostructure which includes at least the above-mentioned substrate material. Specifically, the heterostructure of the present invention comprises a Si-containing substrate; an insulating region that is resistant to Ge diffusion present atop the Si-containing substrate;
[0030] a substantially relaxed SiGe layer present atop the insulating region, wherein the substantially relaxed SiGe layer has a thickness of about 2000 nm or less and a defect density of about 107 atoms/cm2 or less; and a strained Si layer formed atop the substantially relaxed SiGe layer.

[0031] The heterostructure may also include a SGOI substrate that has minimal surface pitting and reduced crosshatching.
[0032] Other aspects of the present invention relate to superlattice structures as well as templates for other lattice mismatched structures which include at least the SiGe-on-insulator substrate material of the present invention.
Brief Description of the Drawings
[0033] FIGS. 1A-1E are pictorial representations (through cross-sectional views) showing the basic processing steps that are employed in the present invention in fabricating a thin, high-quality, substantially relaxed SiGe-on-insulator substrate material wherein the initial substrate includes an unpatterned diffusion barrier region.
[0034] FIGS. 2A-E are pictorial representations (through cross-sectional views) showing the basic processing steps that are employed in an alternative embodiment of the present invention in fabricating a thin, high-quality, substantially relaxed SiGe-on-insulator substrate material wherein the initial substrate includes a patterned diffusion barrier region.
[0035] FIGS. 3A-3B are pictorial representations (through cross-sectional views) showing an alternative embodiment of the present invention wherein a Si cap layer is formed atop a Ge or SiGe layer which is formed on an unpatterned (3 A) or patterned (3B) substrate.
[0036] FIGS. 4A-4B are pictorial representations (through cross-sectional views) showing the formation of a strained Si layer on the thin, high-quality, substantially relaxed SiGe-on-insulator substrate material of FIGS. IE and 2E, respectively.

[0037] FIG. 5 is a graph showing the melting point vs. Ge content of a SiGe binary alloy system. The S denotes a solid, the L is a liquid, and the area between the two curves is the transition phase between solid and liquid.
[0038] FIG. 6 is a plan-view TEM micrograph of a 600 A, 17% SiGe-on-insulator substrate material prepared using a heating step in which the temperature was 100°C below the melting point of a 26% SiGe alloy.
[0039] FIG. 7 is a plan-view TEM micrograph of a 60 nm 17% SiGe-on-insulator substrate prepared using the processing steps of the present invention, i.e., prepared using a heating step in which the temperature is at or near the melting point of a 19% SiGe alloy.
[0040] FIG. 8 is a graph of measured MF/SF density vs. SGOI thickness for various samples heated at different temperatures.
[0041] FIG. 9 is a 10x10 jam Atomic Force Microscope image of an SGOI substrate formed using a prior art thermal mixing process at an oxidation temperature of about 1200°C; crosshatching is visible.
[0042] FIG. 10 is a 10x10 |xm Atomic Force Microscope image of an SGOI substrate formed using the inventive process at an oxidation temperature of about 1250°C for 30 minutes; crosshatching has been minimized.
[0043] FIG. 11 is a plot of divot depth (measured by AFM) vs. oxidation time for 1250°C oxidation temperature used to form a 400A 25% (Ge content) SGOI layer. The initial film structure and the thickness of the grown surface oxide is the same in all cases. Pitting is minimized for shorter oxidation times.

Detailed Description of the Invention
[0044] The present invention, which provides a method of fabricating thin, high-quality, substantially relaxed SiGe-on-insulator substrate materials which can then serve as a lattice mismatched template for subsequent overgrowth of epitaxial Si, will now be described in greater detail by referring to the drawings that accompany the present application. In the accompanying drawings, like and/or corresponding elements are referred to by like reference numerals.
[0045] The present application provides a direct approach to the formation of low-defect, substantially relaxed SiGe-on-insulator substrate materials whereby the heating step of the present invention is performed at a temperature approaching the melting point of the SiGe alloy, while high enough to retard SF formation. The result of the controlled heating step, which is performed at or near the melting point of the final relaxed SiGe alloy layer, is a reduction in the residual defect density compared to similar layers that are annealed at lower temperatures.
[0046] Reference is first made to FIGS. 1A and 2A, which show initial substrate materials that can be employed in the present invention. Specifically, the initial substrate materials illustrated in FIGS. 1A and 2A each comprise a Si-containing semiconductor substrate 10, a barrier layer 12 which is resistant to Ge diffusion (hereinafter "barrier layer") present atop a surface of the Si-containing semiconductor substrate 10 and a first single crystal Si layer 14 having misfit and TD densities of less than about lxlO4 defects/cm2 present atop the barrier layer 12. In the drawings, reference numeral 17 denotes the interface between the barrier layer 12 and the first single crystal Si layer 14.
[0047] The difference between the two initial structures depicted in the drawings is that, in FIG. 1 A, the barrier layer 12 is present continuously throughout the entire structure, whereas in FIG. 2A, the barrier layer 12 is present as discrete and isolated

regions or islands that are surrounded by semiconductor material, i.e., layers 10 and 14. The initial structure shown in FIG. 1A includes an unpattemed barrier layer, whereas the initial structure of FIG. 2 A includes a patterned barrier layer.
[0048] Notwithstanding whether the barrier layer 12 is patterned or unpattemed, the initial structure may be a conventional silicon-on-insulator (SOI) substrate material wherein region 12 is a buried oxide region which electrically isolates the first single crystal Si layer 14 from the Si-containing substrate semiconductor substrate 10. The term "Si-containing" as used herein denotes a semiconductor substrate that includes at least silicon. Illustrative examples include, but are not limited to: Si, SiGe, SiC, SiGeC, Si/Si, Si/SiC, Si/SiGeC, and preformed silicon-on-insulators which may include any number of buried oxide (continuous, non-continuous or mixtures of continuous and non-continuous) regions present therein.
[0049] The SOI substrate may be formed utilizing conventional SIMOX (separation by ion implantation of oxygen) processes well known to those skilled in the art, as well as the various SIM OX processes mentioned in co-assigned U.S. Patent Applications Serial Nos. 09/861,593, filed May 21, 2001; 09/861,594, filed May 21, 2001; 09/861,590, filed May 21, 2001; 09/861,596, filed May 21, 2001; and 09/884,670, filed June 19, 2001 as well as U.S. Patent No. 5,930,634 to Sadana, et al., the entire contents of each are incorporated herein by reference. Note that the process disclosed in the c590 application can be employed herein to fabricate the patterned substrate shown in FIG. 2A. Alternatively, the SOI substrate material may be made using other conventional processes including, for example, a thermal bonding and layer transfer process.
[0050] In addition to SOI substrates, the initial substrates shown in FIGS. 1A and 2A may be a non-SOI substrate that is made using conventional deposition processes as well as lithography and etching (employed when fabricating a patterned substrate). Specifically, when non-SOI substrates are employed, the initial structure is formed by depositing a Ge diffusion barrier layer atop a surface of a Si-containing substrate, via

«
conventional deposition or thermal growing processes, optionally patterning the barrier layer by employing conventional lithography and etching; and thereafter forming a single crystal Si layer atop the barrier layer using conventional deposition processes including chemical vapor deposition (CVD), plasma-assisted CVD, sputtering, evaporation, chemical solution deposition or epitaxial Si growth.
[0051] Barrier layer 12 of the initial structure shown in FIGS. 1A and 2A comprises any insulating material that is highly resistant to Ge diffusion. Examples of such insulating and Ge diffusion resistant materials include, but are not limited to: crystalline or non-crystalline oxides or nitrides.
[0052] The thickness of the various layers of the initial structure may vary depending on the process used in making the same. Typically, however, the single crystal Si layer 14 has a thickness of from about 1 to about 2000 nm, with a thickness of from about 20 to about 200 nm being more highly preferred. In the case of the barrier layer 12 (i.e., Ge diffusion resistant layer), that layer may have a thickness of from about 1 to about 1000 nm, with a thickness of from about 20 to about 200 nm being more highly preferred. The thickness of the Si-containing substrate layer, i.e., layer 10, is inconsequential to the present invention. The thicknesses provided above are exemplary and by no ways limit the scope of the present invention.
[0053] FIGS. IB and 2B illustrate the structure that is formed after a Ge-containing layer 16 is formed atop the first single crystal Si layer 14. The Ge-containing layer 16 includes a SiGe alloy or pure Ge. The term "SiGe alloy" includes SiGe materials that comprise up to 99.99 atomic percent Ge, whereas pure Ge includes layers that comprise 100 atomic percent Ge. When SiGe layers are employed, it is preferred that the Ge content in the SiGe layer be from about 0.1 to about 99.9 atomic percent, with a Ge atomic percent of from about 10 to about 35 being even more highly preferred. The SiGe alloys may be single-crystal, amorphous or polycrystalline.

[0054] In accordance with the present invention, the Ge-containing layer 16 is formed atop the first single crystal Si layer 14 using any conventional epitaxial growth method that is well known to those skilled in the art which is capable of (i) growing a thermodynamically stable (below a critical thickness) Ge-containing layer, (ii) growing a Ge-containing layer that is metastable and free from defects, i.e., misfit and TD dislocations, or (iii) growing a partially or fully relaxed SiGe layer; the extent of relaxation being controlled by growth temperature, Ge concentration, thickness, or the presence of a Si capping layer. Illustrative examples of such epitaxial growing processes that are capable of satisfy conditions (i), (ii), or (iii) include, but are not limited to: low-pressure chemical vapor deposition (LPCVD), ultra-high vacuum chemical vapor deposition (UHVCVD), atmospheric pressure chemical vapor deposition (APCVD), molecular beam (MBE) epitaxy and plasma-enhanced chemical vapor deposition (PECVD).
[0055] The thickness of the Ge-containing layer 16 formed at this point of the present invention may vary, but typically the Ge-containing layer 16 has a thickness of from about 10 to about 500 nm, with a thickness of from about 20 to about 200 nm being more highly preferred.
[0056] In an alternative embodiment of the present invention, see FIGS. 3A-3B, an optional cap layer 18 is formed atop the Ge-containing layer 16 prior to performing the heating step of the present invention. The optional cap layer 18 employed in the present invention comprises any Si material including, but not limited to: epitaxial silicon (or SiGe) (epi-Si), amorphous silicon (a:Si), amorphous SiGe, single or polycrystalline Si or any combination thereof including multilayers. In a preferred embodiment, the cap layer is comprised of epi Si. It is noted that layers 16 and 18 may, or may not, be formed in the same reaction chamber.
[0057] When present, the optional cap layer 18 has a thickness of from about 1 to about 100 nm, with a thickness of from about 1 to about 30 nm being more highly

preferred. The optional cap layer 18 is formed utilizing any well-known deposition process including the epitaxial growth processes mentioned above.
[0058] In one embodiment of the present invention, it is preferred to form a Ge-containing layer (15 to 20 atomic percent Ge) having a thickness of from about 1 to about 2000 nm on the surface of a single crystal Si layer, and thereafter forming a Si cap layer having a thickness of from about 1 to about 100 nm atop the Ge-containing layer.
[0059] After forming the Ge-containing layer 16 (with or without the optional cap layer 18) atop the initial structure, the structure shown in either FIG IB or 2B (with or without the optional cap) may then be subjected to an optional ion implantation step wherein ions that are capable of forming defects that allow enhanced relaxation at or near the interface 17 is performed. Examples of such defects that permit enhanced relaxation to occur include: platelet defects or bubble-like defects, as in the case for hydrogen ion implantation. The implant may be performed with or without the use of an implantation mask.
[0060] The structure after this implantation step is shown in FIG. 1C or 2C. In these figures, reference numeral 19 denotes the defect regions formed by the ion implantation step. The defect regions solve the problem of defect production in the SiGe alloy/single crystal Si bilayer by facilitating relaxation of the bilayer to occur. Specifically, relaxation occurs by plastically deforming the defect region that is present at or near the interface 17,
[0061] The defects, which permit enhanced relaxation to occur at or near the interface 17, are formed by implanting ions such as hydrogen, deuterium, helium, oxygen, fluorine, neon, and mixtures thereof into the various layers using implant conditions which maintain the peak of the ion range at or near interface 17. Isotopes of the aforementioned ions are also contemplated herein. Preferred ions used in the present

invention are hydrogen ions (H+). It is noted that other species of hydrogen such as H2+ can also contemplated herein.
[0062] The implant step of the present invention is conducted at approximately room temperature, i.e., a temperature of from about 283K to about 303K, using a beam current density of from about 0.01 to about 10 microamps/cm . Implantation at different temperatures and/or using other beam current densities may affect the relaxation behavior.
[0063] The concentration of the implant species used in forming the platelet defects may vary depending upon the type of implant species employed. Typically, however, the concentration of implanting ions used at this point of the present invention is below 3E16 cm"2, with an ion concentration of from about 1E16 to about 2.99E16 cm"2 being more highly preferred. The energy of this implant may also vary depending upon the type of ion that is being implanted, with the proviso that the implant energy must be capable of positioning ions at or near interface 17. For example, when hydrogen is employed as the implant ion, the energy used to ensure platelet formation at or near interface 17 is from about 1 to about 100 keV, with an energy of from about 3 to about 20 keV being more highly preferred.
[0064] The implantation of the foregoing energetic ions into or near the strained SiGe/Si interface creates defects that can serve as efficient dislocation nucleation sites which allow the SiGe layer to relax more efficiently. The randomness of the defect sites caused by this implantation also reduces defect pinning caused by interactions between moving dislocations and thereby makes the relaxation process more efficient.
[0065] After forming the Ge-containing layer 16 (with or without the optional cap layer 18 and with or without the implant) atop the initial structure, the structure (with or without the optional cap layer 18 and with or without the implant) is then heated, i.e., annealed, at a temperature which substantially annihilates defects caused by strained

relaxation, while permitting interdiffusion of Ge throughout the first single crystal Si layer 14, the Ge-containing layer 16 and, if present, the optional Si cap 18 thereby forming a substantially relaxed, single crystal SiGe layer 20 atop the barrier layer 12. Note that an oxide layer 22 is formed atop the SiGe layer 20 during the heating step. Oxide layer 22 is typically, but not always, removed from the structure after the heating step using a conventional wet etch process wherein a chemical etchant such as HF that has a high selectivity for removing oxide as compared to SiGe is employed. The resultant structure formed after the heating step has been performed is shown, for example in FIGS. ID or 2D.
[0066] Note that when the oxide layer 22 is removed, a second single crystal Si layer can be formed atop the SiGe layer 20 and the above processing steps of the present invention may be repeated any number of times to produce a multilayered relaxed SiGe substrate material.
[0067] The oxide layer 22 formed after the heating step of the present invention has a variable thickness which may range from about 10 to about 1000 nm, with a thickness of from about 20 to about 500 nm being more highly preferred.
[0068] Specifically, the heating step of the present invention is an annealing step that is performed at a temperature that is at or near the melting point of a selected SiGe alloy, yet at a temperature high enough to retard the formation of SF defects. The selected SiGe alloy is based on the Ge content desired in the final relaxed SiGe layer. FIG. 5 is a graph showing the melting point vs. Ge content of a SiGe binary alloy system. The S denotes a solid, the L is a liquid, and the area between the two curves is the transition phase between solid and liquid. The temperature of the heating step of the present invention substantially tracts along the bottom curve in the drawing, which is labeled as Ti for convenience. The temperature used in the heating step of the present invention may be slightly less than the actual melting point for a given Ge content.

[0069] Specifically, the heating temperature maybe performed at the actual melting point of a selected SiGe alloy layer or at a temperature of about 50°C below the actual melting point but above about 1230°C to reduce SF defect generation during oxidation. Care should be taken not to heat the structure in the area between the two curves since agglomeration of the SiGe alloy can occur if heating occurs within that area. The heating step of the present invention, which is a function of Ge content, may be performed at a temperature of from about 1230°C (for 30 % Ge) to about 1410°C (for 0.1 % Ge in a SiGe alloy).
[0070] Moreover, the heating step of the present invention is carried out in an oxidizing ambient which includes at least one oxygen-containing gas such as O2, NO, N2O, ozone, air and other like oxygen-containing gases. The oxygen-containing gas may be admixed with each other (such as an admixture of O2 and NO), or the gas may be diluted with an inert gas such as He, Ar, N2, Xe, Kr, or Ne.
[0071] The heating step may be carried out for a variable period of time which typically ranges from about 10 to about 1800 minutes, with a time period of from about 30 to about 600 minutes being more highly preferred. The heating step may be carried out at a single targeted temperature, or various ramp and soak cycles using various ramp rates and soak times can be employed. A soak step may be used below the actual melting point of a given SiGe alloy to tailor the types of defects present in the structure.
[0072] The heating step is performed under an oxidizing ambient to achieve the presence of a surface oxide layer, i.e., layer 22, which acts as a diffusion barrier to Ge atoms. Therefore, once the oxide layer is formed on the surface of the structure, Ge becomes trapped between the barrier layer 12 and the oxide layer 22. As the surface oxide increases in thickness, the Ge becomes more uniformly distributed throughout layers 14,16, and optionally 18, but it is continually and efficiently rejected from the encroaching oxide layer. So as the (now homogenized) layers are thinned during this heating step, the relative Ge fraction increases.

[0073] It has been determined in the present invention, that the bulk of the oxidation be carried out at or above approximately 1230°C to reduce the generation of SF defects as the SiGe layer is thinned. Therefore, it is contemplated that temperature be ramped down under dilute-oxygen or non-oxidizing ambient so as to avoid an increase in the generation rate of SF defects as a result of oxidation below about 1230°C. The oxidation temperature being between 1230 and 1350°C; with 1250 to 1325°C being more highly preferred.
[0074] Note that if the oxidation occurs too rapidly, Ge cannot diffuse away from the surface oxide/SiGe interface fast enough and is either transported through the oxide (and lost) or the interfacial concentration of Ge becomes so high that the alloy melting temperature will be reached.
[0075] The role of the heating step of the present invention is (1) to annihilate defects formed from strain relaxation; (2) allow Ge atoms to diffuse more quickly thereby maintaining a homogeneous distribution during annealing; and (3) to subject the initial layer structure to a thermal budget which will facilitate an equilibrium configuration in such a way as to minimize the number of defects generated during oxidation. After this heating step has been performed, the structure includes a uniform and substantially relaxed, low-defect SiGe alloy layer, i.e., layer 20, sandwiched between the barrier layer 12 and surface oxide layer 22. See FIGS. ID or 2D.
[0076] In one embodiment of the present invention, the oxidation temperature is controlled within a range from about 1230° to about 1320°C and the oxidation is performed for a time period of less than about 2 hours. More preferably, the oxidation is performed at a temperature from about 1230° to about 1300°C for a time period of less than about 1.5 hours. When this embodiment of the present invention is performed, a high-quality, substantially relaxed SGOI substrate having improved surface characteristics (in terms of surface pitting and crosshatching) is provided. The SGOI substrate provided using this embodiment of the present invention has a surface

roughness that is less than 15A RMS, a pit depth of less than 30 A and a pit width of less than 300 nm. This embodiment of the present invention can be used in conjunction with the various embodiments mentioned hereinabove.
[0077] In accordance with the present invention, the relaxed SiGe layer 20 has a thickness of about 2000 nm or less, with a thickness of from about 10 to about 100 nm being more highly preferred. Note that the relaxed SiGe layer 20 formed in the present invention is thinner than prior art SiGe buffer layers and has a TD density of from about 2 to 10 times lower than SGOI formed at 1200°C and a SF defect density reduction by about a factor of 100. See FIG. 8. This represents a two order of magnitude reduction in the defect density as compared to the process disclosed in the ' 138 application.
[0078] The relaxed SiGe layer formed in the present invention has a final Ge content of from about 0.1 to about 30 atomic percent, with an atomic percent of Ge of from about 10 to about 30 being more highly preferred. Another characteristic feature of relaxed SiGe layer 22 is that it has a measured lattice relaxation of from about 1 to about 99 %, with a measured lattice relaxation of from about 50 to about 80 % being more highly preferred.
[0079] As stated above, the surface oxide layer 22 may be stripped at this point of the present invention so as to provide the SiGe-on-insulator substrate material shown, for example, in FIGS. IE or 2E (note that the substrate material does not include the cap layer since that layer has been used in forming the relaxed SiGe layer).
[0080] FIGS. 4A-B show the structure that is obtained after forming Si layer 24 atop SiGe layer of FIGS. IE and 2E, respectively. Si layer 24 is formed using a conventional epitaxial deposition process well known in the art. The thickness of the epi-Si layer 24 may vary, but typically, the epi-Si layer 24 has a thickness of from about 1 to about 100 nm, with a thickness of from about 1 to about 30 nm being more highly preferred.

[0081] In some instances, additional SiGe can be formed atop the relaxed SiGe layer 20 utilizing the above-mentioned processing steps, and thereafter the epi-Si layer 24 may be formed. Because layer 20 has a large in-plane lattice parameter as compared to the epi-Si layer 24, epi-Si layer 24 will be strained in a tensile manner.
[0082] As stated above, the present invention also contemplates superlattice structures as well as lattice mismatched structures which include at least the SiGe-on-insulator substrate material of the present invention. In the case of superlattice structures, such structures would include at least the substantially relaxed SiGe-on-insulator substrate material of the present invention, and alternating layers Si and SiGe formed atop the substantially relaxed SiGe layer of the substrate material.
[0083] In the case of lattice mismatched structures, GaAs, GaP or other like compound would be formed atop the substantially relaxed SiGe layer of the inventive SiGe-on-insulator substrate material.
[0084] An example of the defect reduction using the method of the present invention is illustrated in FIGS. 6 (Prior Art) and 7 (Invention). The initial structure, in each case, was a 600 A, 17 % pseudomorphically strained SiGe alloy grown on a 550 A Si SIMOX SOI wafer. FIG. 6 shows plan-view TEM micrograph results of the sample that was thermally mixed and oxidized at 1200°C to a final Ge content of 26 %. The heating, which is in accordance with the '138 application, was about 100°C less than the melting point of the SiGe alloy layer. The defect density was about 5E7 cm" threading defects and some misfit segments (or stacking faults) were evident. FIG. 7 shows plan-view TEM micrograph results of the same thermally mixed and oxidized at 1290°C to a final Ge content of about 19 % Ge (the temperature of heating is at or near the melting point of the SiGe alloy layer, See FIG. 5). No defects are evident in the latter image, in fact, extended TEM analysis showed no defects which suggests a defect density at or below the 106 cm"2 range.

[0085] FIG. 8 shows the measured SF defect density versus final thickness in the SGOI fabricated by oxidation at 1200, 1250 and 1320°C in a manner consistent with the '138 application and the present invention. All data are for 600 A -17% SiGe initial layers grown on 550 A SOI substrates. The figure shows nearly a two order of magnitude reduction in SF defect density using the present invention. Also suggested by the figure is the ability to control the SF defect density by controlling the final SGOI thickness.
[0086] The SGOI substrate produced using the embodiment of the present invention in which oxidation has been maintained within a temperature from about 1230° to about 1320°C for a time period of less than about 2 hours has minimized crosshatching as compared with prior art SGOI substrates in which the above protocol is not followed. Moreover, the SGOI substrate material produced in this embodiment has a substantially relaxed SiGe layer that has a stacking fault and/or microtwin density of less than about lxlO5. FIGS. 9 and 10 are 10x10 \xm Atomic Force Microscope images of an SGOI substrate formed using a prior art thermal mixing process at an oxidation temperature of about 1200°C, and an SGOI substrate formed using the inventive process wherein the oxidation temperature was performed at a temperature of about 1250°C for 30 minutes, respectively. Note that crosshatching has been minimized in FIG. 10 as compared with FIG. 9. FIG. 11 shows how the pit depth increases with increasing oxidation time at 1250°C during the formation of a 400A 25% SGOI layer. It should be noted that below about 1.5 hours oxidation time, the pits are indistinguishable from the original (before thermal mixing) surface roughness and the data point at 30 minutes represents an upper limit of the pit depth.
[0087] While the present invention has been particularly shown and described with respect to preferred embodiments thereof, it will be understood by those skilled in the art that the foregoing and other changes in forms and details may be made without departing from the scope and spirit of the present invention. It is therefore intended that the

present invention not be limited to the exact forms and details described and illustrated, but fall within the scope of the appended claims.




CLAIMS Having thus described our invention in detail, what we claim as new and desire to secure by the Letters Patent is:
1. A method of producing a SiGe-on-insulator substrate material comprising the steps
of:
forming a Ge-containing layer on a surface of a first single crystal Si layer, said first single crystal Si layer is present atop a barrier layer that is resistant to Ge diffusion; and
heating said layers to a temperature at or near the melting point of a selected SiGe alloy which causes a substantial reduction in strained relaxation defects while permitting interdiffusion of Ge throughout said first single crystal Si layer and said Ge-containing layer thereby forming a low-defect, substantially relaxed, single crystal SiGe layer atop said barrier layer, said temperature being at or above that which limits generation of stacking fault defects.
2. The method of Claim 1 wherein said first single crystal Si layer and said barrier layer are components of a silicon-on-insulator (SOI) substrate.
3. The method of Claim 1 wherein said first single crystal Si layer and said barrier layer are components of a non-SOI substrate.
4. The method of Claim 1 wherein said barrier layer is a patterned barrier layer.
5. The method of Claim 1 wherein said barrier layer is an unpatterned barrier layer.
6. The method of Claim 1 wherein said barrier layer comprises crystalline or noncrystalline oxides, or crystalline or non-crystalline nitrides.

7. The method of Claim 1 wherein said barrier layer is a buried oxide region.
8. The method of Claim 1 wherein said Ge-containing layer is a SiGe alloy or pure Ge.
9. The method of Claim 8 wherein said Ge-containing layer is a SiGe alloy comprising up to 99.99 atomic percent Ge.

10. The method of Claim 9 wherein said SiGe layer comprises from about 10 to about 35 atomic percent Ge.
11. The method of Claim 1 wherein said Ge-containing layer is formed by an epitaxial growth process selected from the group consisting of low-pressure chemical vapor deposition, atmospheric pressure chemical vapor deposition, ultra-high vacuum chemical vapor deposition, molecular beam epitaxy, and plasma-enhanced chemical vapor deposition.
12. The method of Claim 1 further comprising forming a Si cap layer atop said Ge-containing layer prior to heating.
13. The method of Claim 12 wherein said Si cap layer comprises epi-Si, a:Si, single or polycrystalline Si or any combination and multilayer thereof.
14. The method of Claim 13 wherein said Si cap layer comprises epi-Si.
15. The method of Claim 1 further comprising performing an implantation step prior to heating, said implantation step includes ions that are capable of forming defects that allow enhanced relaxation at or near an interface between the first single crystal Si layer and the barrier layer.

16. The method of Claim 12 further comprising performing an implantation step prior to heating, said implantation step includes ions that are capable of forming defects that allow enhanced relaxation at or near an interface between the first single crystal Si layer and the barrier layer.
17. The method of Claim 15 wherein said ions comprise hydrogen, deuterium, helium, oxygen, neon, or mixtures and isotopes thereof.
18. The method of Claim 16 wherein said ions comprise hydrogen, deuterium, helium, oxygen, neon, or mixtures and isotopes thereof.

20. The method of Claim 18 further comprising removing said surface oxide layer utilizing a wet chemical etch process.
21. The method of Claim 1 wherein said forming and heating steps are repeated any number of times.
22. The method of Claim 1 wherein said heating step is carried out in an oxidizing ambient which comprises at least one oxygen-containing gas.

23. The method of Claim 1 wherein said heating step is between the range of 1230 to 1350°C.
24. The method of Claim 22 wherein said at least one oxygen-containing gas comprises 02, NO, N2O, ozone, air or mixtures thereof.
25. The method of Claim 22 further comprising an inert gas, said inert gas being employed to dilute said at least one oxygen-containing gas.

26. The method of Claim 1 wherein said substantially relaxed SiGe layer has a thickness of about 2000 nm or less.
27. The method of Claim 1 wherein said substantially relaxed SiGe layer has a defect density of about 10 defects/cm or less.
28. The method of Claim 1 wherein said substantially relaxed SiGe layer has a measured lattice relaxation of from about 1 to about 99 %.
29. The method of Claim 1 further comprising growing an additional SiGe layer atop said substantially relaxed SiGe layer.
30. The method of Claim 30 further comprising forming a strained Si layer atop said additional SiGe layer.
31. The method of Claim 1 further comprising forming a strained Si layer atop said substantially relaxed SiGe layer.
32. The method of Claim 1 wherein said heating is performed by an oxidation process in which oxidation is performed at a temperature from about 1230° to about 1320°C for a time period of less than about 2 hours.
33. The method of Claim 33 further comprising performing an implantation step prior to heating, said implantation step includes ions that are capable of forming defects that allow enhanced relaxation at or near an interface between the first single crystal Si layer and the barrier layer.
34. The method of Claim 34 wherein said ions comprise hydrogen, deuterium, helium, oxygen, flourine, neon, or mixtures and isotopes thereof.

1
39. A substrate material comprising:
a Si-containing substrate;
an insulating region that is resistant to Ge diffusion present atop said Si-containing substrate; and
a substantially relaxed SiGe layer present atop said insulating region, wherein said substantially relaxed SiGe layer has a thickness of about 2000 nm or less and a defect density of about 10 defects/cm or less.


Documents:

3615-CHENP-2005 AMENDED PAGES OF SPECIFICATION 19-01-2012.pdf

3615-CHENP-2005 AMENDED CLAIMS 19-01-2012.pdf

3615-CHENP-2005 ASSIGNMENT 10-02-2012.pdf

3615-CHENP-2005 ASSIGNMENT 19-01-2012.pdf

3615-CHENP-2005 CORRESPONDENCE OTHERS 22-03-2011.pdf

3615-CHENP-2005 FORM-3 19-01-2012.pdf

3615-CHENP-2005 CORRESPONDENCE OTHERS 10-02-2012.pdf

3615-CHENP-2005 CORRESPONDENCE OTHERS 19-01-2012.pdf

3615-CHENP-2005 CORRESPONDENCE PO.pdf

3615-CHENP-2005 FORM-18.pdf

3615-CHENP-2005 OTHER PATENT DOCUMENT 19-01-2012.pdf

3615-CHENP-2005 OTHER PATENT DOCUMENT 1 19-01-2012.pdf

3615-CHENP-2005 POWER OF ATTORNEY 19-01-2012.pdf

3615-chenp-2005-abstract.pdf

3615-chenp-2005-assignement.pdf

3615-chenp-2005-claims.pdf

3615-chenp-2005-correspondnece-others.pdf

3615-chenp-2005-description(complete).pdf

3615-chenp-2005-drawings.pdf

3615-chenp-2005-form 1.pdf

3615-chenp-2005-form 26.pdf

3615-chenp-2005-form 3.pdf

3615-chenp-2005-form 5.pdf

3615-chenp-2005-pct.pdf


Patent Number 251072
Indian Patent Application Number 3615/CHENP/2005
PG Journal Number 08/2012
Publication Date 24-Feb-2012
Grant Date 21-Feb-2012
Date of Filing 30-Dec-2005
Name of Patentee INTERNATIONAL BUSINESS MACHINES CORPORATION
Applicant Address New Orchard Road, Armonk, NY 10504
Inventors:
# Inventor's Name Inventor's Address
1 BEDELL, Stephen, W. 32 Nicole Drive, Wappingers Falls, NY 12590
2 CHEN, Huajie 11F Canterbury Lane, Wappingers Falls, NY 12590
3 DOMENICUCCI, Anthony, G. 12 Guilford Schoolhouse Road, New Platz, NY 12561
4 FOGEL, Keith, E 4 Lucs Lane, Mohegan Lake, NY 10547
5 MURPHY, Richard, J 28 Little Farms Lane, Clinton Corners, NY 12514
6 GROLZ, Edward, W.; Scully, Scott, Murphy & Presser, 400 Garden City Plaza - Suite 300, Garden City, NY 10570
7 SADANA, Devendra, K. 90 Sky Top Drive, Pleasantville, NY 10570
PCT International Classification Number H01L 21/00
PCT International Application Number PCT/US2004/016747
PCT International Filing date 2004-05-27
PCT Conventions:
# PCT Application Number Date of Convention Priority Country
1 10/448,948 2003-05-30 U.S.A.