Title of Invention

A PROCESSING CIRCUIT AND A SEARCH PROCESSOR CIRCUIT (PMC)

Abstract A processing circuit P<sub>1</sub>for recognition and comparison of complex patterns in high-speed data streams can form a node in a network of circuits of this kind and comprises an interface for inputting of parameters for the circuit, at least one kernel processor P0 in the form of a comparator unit (COM) for comparing two data words, a logic unit (E) connected with the comparator unit and comprising a multiplexer (MUX1), a first D flip-flop (2), a latency unit (LAT) for delaying a positive binary value with a given number of time units, a second D flip-flop (4), a sequence control unit (SC) which monitors and controls a comparison operation in the comparator unit (COM), and a result selector (RS) which combines two result values from other processing circuits or other result selectors. A search processor circuit (PMC) for performing search and comparison operations on complex patterns comprises a multiprocessor unit P<sub>n</sub> with processing circuits P<sub>1</sub> in a tree structure and forms a binary or superbinary tree with n+1 levels S and degree k = 2<sup>m</sup> m being a positive integer &#8805; 1. An underlying level S <sub>n-</sub><sub>q</sub> generally comprises 2<sup>mq</sup> circuits P<sub>n-</sub><sub>q</sub> provided nested in the 2<sup>m(q-1)</sup> circuits P<sub>n-</sub><sub>q</sub> <sub>+1</sub> on the level S<sub>n</sub> <sub>q+</sub><sub>1</sub> A 0<sup>th</sup> level S<sub>0</sub> defined for q = n in the unit P<sub>n</sub> comprises 2<sup>m</sup> to 2<sup>mn</sup> kernel processors P<sub>0</sub> which form comparator units (COM) in the circuits P<sub>1</sub>. All circuits P<sub>1</sub>, P<sub>2</sub>...P<sub>n</sub> have identical interfaces (I) <sub>n</sub>and a logic unit (E) with a result selector (RS) for collecting the results of a search operation or a comparison operation. Use in search engines for search and retrieval of data stored in data bases.
Full Text A processing circuit and a search processor circuit
The present invention concerns a processing circuit for recognition and comparison of complex patterns in high-speed data streams, particularly for use in search engines for search and retrieval of data stored in structured or unstructured databases, and wherein the processing circuit forms a node in a network of such processing circuits; and a search processor circuit comprising a multiprocessor unit P,, with tree structure for recognition and comparison of complex patterns in high speed data streams, particularly for use in search engines for search and retrieval of data stored in structured or unstructured databases, wherein the multiprocessor unit Pn comprises processing circuits Pi according to claim 1, and wherein the multiprocessor unit ?„ forms a circuit realized as a binary or superbinary tree with n+1 levels SQ, Si,...Sn and degree k = 2"", wherein m is a positive integer larger or equal to 1, and a superbinary tree defined by k > 2.
The present invention realizes a search processor circuit on the basis of a multiprocessor unit with a plurality of processing circuits. In a search operation a stream of data is carried in steps through the processing circuits of the search processor circuit and for each step the data which currently are in the chip, are compared with some pattern or other which may be coded as a bit string and beforehand input to the processing circuits.
For searching information
large data streams, something which for instance will be topical for search engines for data communication networks of a type such as Internet or Intranet, for monitoring the content of data streams and for retrieval of data in large structured and unstructured databases, there have in recent years been developed dedicated processors. The reason is that recognition and retrieval of information in the above-mentioned fields are critical operations which do not lend themselves to an effective realization with the use of common data processors. Basically search and retrieval of patterns in large data volumes are suited to massively parallel solutions, using a large number of processing elements which simultaneously search in the same or different data segments. By use of a massive parallelism it will be possible to handle a large number of queries or searches simultaneously. For the search it has been proposed to use special

search languages which has sufficient power of expression to describe features of the information searched.
In the art there are known processors which use a comparison of strings of data or symbols. As an example of prior art in that connection there may be referred to international published specification WO 94/09443 with the title "Non-numeric coprocessor". Further has the company Paracel Inc. developed a data processing unit called Fast Data Finder (FDF) which is particularly adapted for analysing similarities between data. FDF uses a pattern matching technology which can detect an exact match, but may also be able to find distant similarities, something which may be useful in gene research and text searching.
Further there are from US patent No. 5 553 272 (Ranganathan & al.) known a linear systolic array processor for computing the edit distance between two strings over a given alphabet. This computation is based on a coding scheme which reduces the number of bits which are necessary to represent a state in the computation. The systolic array processor has been given an architecture which does not constrain the length of the strings which can be compared and uses simple basic cells which only need to communicate with the nearest cell neighbour, such that it is very well suited for VLSI implementation.
A disadvantage with the known dedicated search processors is that they do not offer a sufficiently advanced functionality to handle very complex search queries. Another disadvantage is that they to a greater extent are based on a circuit architecture which only with difficulty can offer a functionality of this kind without being unnecessary complicated.
An attempt to solve this problem is known from US patent No. 4860201 (Stolfo & al.) which discloses a parallel processing device structured as a binary tree, where a large number of processors, each with its own I/O unit, are used. Generally Stolfo & al. discloses a computer with a large number of processors connected in a binary tree structure such that each processor apart from those which form respectively the root and the leaves of the tree, has a single parent processor and two child processors. The processors work typically synchronously with data which are transmitted to them from a parent processor and communicate the results further to the nearest following processors. At the same time the child processors of a parent processor can

also communicate with each other. According to Stolfo & al. each node forms a processing element which comprises a processor in a real sense, a read/write memory or a random access memory and an I/O means. The I/O means provides interfaces between each processing element and its parent and child processing elements such that a substantial improvement is obtained in the speed whereby data are transmitted through the binary tree structure. As the binary tree structure has a processing element in every node, the processing device generally will comprise 2""-l processing elements, i.e. 1023 processing elements if the binary tree is realized with n = 10 levels. In a preferred embodiment this prior art parallel processing device has a clock frequency of 12 MHz which in case a tree with 1023 processors is used, each processor having an average instruction cycle time of 1,8 j^s, offers a processing performance of about 570 million instructions per second.
A binary parallel processor of this kind can be well suited for handling partitionable data processing problems, for instance searching in large information volumes. A partitionable search problem can be defined as a problem where a query about relation between an object x and and object set corresponds to a repeated use of a commutative and associative a binary operator b which has an identity, and a primitive search query q which is applied between a new object x and each element f in the set F. One has then a partitionable search problem when the logic function OR is combined with the primitive query "is x equal to f" applied between the object x an each element f in F. As stated in Stolfo & al., a problem which consists of answering a query about a set F, can be answered by combining the queries applied to arbitrary subsets of F. The problem is in other words partitionable and well-suited for rapid execution by parallel processing. The set F is partitioned in a number of arbitrary subsets equal to the number of available processors. The primitive query q is then applied in parallel on each processor between the unknown x which is communicated to all processors and the locally stored element f in the set F. The result is then arbitrarily combined in parallel by log2N repetitions of the operators b, a number of computations on N/2 adjoining pairs of processors first being performed and then a corresponding number of computations on N/4 pairs of processors with the results from the first computations. The operations are thus moving during the processing to overlying levels in the binary tree, in other words

from child processors to the parent processor etc. and are repeated in parallel on each level.
From Indian patent application IN/PCT/2001/00562CHE which belongs to the present applicant, there is known a digital processing device which is suited for processing digital data signal structures, where the data signal structures comprise repetitive sequences and/or nested patterns. This processing device is generally configured as a regular tree with n+1 levels So, Si,.--Sn and of degree k. This architecture provides a number of advantages compared with that which is disclosed in the above-mentioned US patent No, 4860201 and may in one embodiment be used for realizing a multiprocessor architecture based on a regular binary tree of degree 2 or a superbinary tree for degree 2"", where m is a positive integer greater than 2, such that a superbinary tree for instance will have the degree 4, 8, 16...etc. A binary or superbinary architecture of this kind will similarly to the that proposed in US patent 4860201 be able to handle partitionable search problems in an effective manner.
The main object of the present invention is thus to provide a search processor circuit which with basis in the general multiprocessor architecture as disclosed in the applicant"s above-mentioned international patent application can be realized with a multiprocessor architecture which avoids the above-mentioned disadvantages with dedicated search processors, but which simultaneously also will be able lo provide a far better processing and device economy than that which is the case with the parallel processor as disclosed in US patent No. 4860201.
Further it is an object of the invention to provide a processing circuit which can be used for realizing a multiprocessor unit in a search processor circuit and effectively perform search by comparison of patterns.
Finally it is also an object of the invention to provide a search processor circuit whose architecture not only allows it to handle binary partitionable search problems with appropriate functionality, but also lends itself to implementation with varying degree of integration by means of available circuit solutions known in the field of microelectromcs. Particularly it is in that connection the object that it can be realized in the form of a

microelectronic component implemented as a so-called field programmable gate array (FPGA) or an application-specific integrated circuit (ASIC).
The above-mentioned objects and other features and advantages are achieved according to the present invention with a processing circuit which is characterized in that it comprises an interface with inputs and outputs for data which respectively are configuring and operational parameters for the processing circuit, the configuring parameters being supplied to the processing circuit via unspecified or dedicated inputs in the interface once and for all for a giving processing task and the operational data which are processed by execution of the given processing task being continuously input to or output from the processing circuit via specified respective inputs and outputs of the interface, at least one kernel processor in the form of a comparator unit, the comparator unit being adapted for comparing two data words, and a logic unit connected with the comparator unit, the logic unit comprising a multiplexer connected with the following inputs in the interface: a sequential data input, a sequential document input, a sequential flip-flop input, a sequential result input from a preceding processing circuit, a sequential result input from a succeeding processing circuit, a parallel data input, a parallel document input, a parallel flip-flop input, a parallel result input on a preceding processing circuit and a parallel result input on a succeeding processing circuit; and with the following outputs in the interface: an output for a selected data value, an output for a selected document value, an output for a selected flip-flop value, an output for a selected result to a preceding processing circuit and an output for selected result to a succeeding processing circuit; a first D flip-flop; a latency unit which is adapted to delay a positive binary value with a given number of time units; a second D flip-flop; a sequence control unit which is adapted to monitor and control a comparison operation in the comparator unit, and a result selector which is adapted to combine two result values from other processing circuits or other result selectors; that the comparator unit is connected with an output for a selected data value on a multiplexer and with a data output in the interface and further has a result output connected with a first AND gate and an equality output connected with a second AND gate, that the first D flip-flop is connected with an output for a selected document value on the multiplexer and has a reset output which via a reset line is connected respectively with an input on the first AND gate, an input on the

second AND gate, and an input on the latency unit as well as with a document input in the interface, that the second AND gate has an equality output in the interface, that the latency unit is connected with the output on the first AND gate and with a result input on the sequence control unit, that the second D flip-flop is connected with the reset output on the first D flip-flop and respectively a flip-flop output and flip-flop input on the sequence control unit as well as with a flip-flop output in the interface, that the sequence control unit is connected with the output for a selected flip-flop value and the output for a selected result from a preceding processing circuit on the multiplexer and with a result input on a preceding processing circuit and result output in the interface, and that the result selector is connected with respectively a first result input, a first document input, a first equality input, a second result input, a second document input, and a second equality input in the interface and respectively with a result output, a document output and an equality output in the interface.
In an advantageous embodiment of the processing circuit according to the invention the comparator unit COM comprises a first register which in each case contains input data in the form of a data word x and respectively is connected with a data input and a data output, a second register which contains a data word a which the data word x in the first register is to be compared with, as well as one or more logic gates and a multiplexer connected with the registers for executing a comparison operation, an output on the multiplexer comprising the result output of the comparator unit.
In an advantageous embodiment of the processing circuit according to the invention the latency unit comprises a counter which respectively via a first Input Is connected with the output of the second AND gate and via a second Input with the reset line, the counter being connected with a latency register which contains a configuring latency parameter, and having an output which is the result output of the latency unit.
In an advantageous embodiment of the processing circuit according to the invention the sequence control unit comprises a first AND gate connected with a result input on a preceding processing circuit and a result output on the latency unit, a first OR gate connected respectively with an output of the first AND gate and an output on the second D flip-flop, a second AND gate

connected with the result output of the latency unit and the result input on a succeeding processing circuit, a second OR gate connected with the result input on a succeeding processing circuit and the output on the second D flip-flop, a third AND gate connected with the result output on the latency unit and with an output on the OR gate, and a multiplexer connected respectively with the result output of the latency unit, an output on each of the AND gates and the first OR gate, the result input on a preceding processing circuit and the flip-flop output on a succeeding processing circuit, an output of the sequence control unit forming the result output of the processing circuit.
In an advantageous embodiment of the processing circuit according to the invention the result selector comprises a first AND gate connected with the first equality input and the second equality input, a second AND gate connected with the first result input and the second result input, a third AND gate connected with the first result input and the second equality input, a NOT gate connected with the second equality input, a fourth AND gate connected with the second result input and the output on the NOT gate, a first OR gate connected respectively with the first and the second equality input, a second OR gate connected respectively with the first and the second equality input, a third OR gate connected respectively with the output of the third and the forth AND gate, a multiplexer connected respectively with the first result input, the second result input, the first equality input, the second equality input, the output from respectively the first and second AND gate, and with the output from respectively the first, the second and third OR gate, a fifth AND gate connected respectively with the first and second document input and with the document output in the interface, a sixth AND gate connected respectively with the output of the fifth AND gate, a first output on the multiplexer and the result output in the interface, and a seventh AND gate connected with respectively the fifth AND gate and a second output on the multiplexer as well as the equality output in the interface.
The above-mentioned objects and other features and advantages are also achieved according to the present invention with a search processor circuit which is characterized in that the multiprocessor unit is provided on the level S„ and forms a root node in the tree and comprises an interface Ip and a logic unit E, that the nearest underlying level Sn.i comprises 2"" circuits P^.i which

are provided nested in the multiprocessor unit Pn and form the child nodes thereof, each of the circuits P^-i having identical interfaces Ip^ | and comprising a corresponding logic unit E, that the multiprocessor unit P^ on an underlying level S„.q, q e {1,2,...n -1}, generally comprises 2""^ circuits Pii.q, each with interface Ip , and corresponding logic units and provided nested in the 2""^" circuits Pn-q+i on the overlying level Sn-q+i, each circuit Pn.q-i on this level comprising 2"^ circuits P^.^, that a zeroth level for n - q = So defined for the multiprocessor unit Pn for q = n = So comprises from 2""""""" to 2™ kernel processors PQ which form comparator units in the 2^(11-1) pj-QQessing circuits P] on the level Si, that each of processing circuits Pi comprises from one to 2"^ comparator units and each has interfaces Ip| and corresponding logic units, that generally all circuits P,, P2...Pn on the levels Si, S2,...Sn have identical interfaces I such that Ip^ = Ip^ = .... Ip^ = 1, and that
each logic unit comprises a result selector or a look-up-table unit for collecting the results of a search operation or a comparison operation executed by the processing circuits Pi on the level S|.
In an advantageous embodiment of the search processor circuit according to the invention each processing circuit on the level comprises 2"" comparator units, such that the multiprocessor unit Pn forms an unreduced binary or superbinary tree, that a processing circuit P| maps a circuit P2 on the overlying level with a factor r = 2"^, and that generally a circuit P^.q on the level S„.q for qe {l,2,...n-l} maps a circuit Pn_q-i-i on the overlying level Sn-q+i recursively with the factor r = 2"", such that the binary or superbinary tree which configures the circuit Pn in each case comprises a from the level Si on recursively generated binary or superbinary tree.
In an advantageous embodiment of the search processing circuit according to the invention wherein the logic unit comprises a latency unit and a sequence control unit as well as a look-up-table unit, the logic unit additionally comprises a first AND gate, a first multiplexer, a second AND gate and a second multiplexer, that look-up-table unit is connected with a result output on each processing circuit Pn-i in the immediately underlying level, the first AND gate with a document output on each of the said circuits Pp_], the second AND gate with the output of the first AND gate and the output of the look-up-table, the first multiplexer with the output on the second AND gate

and a second result output on a last one of said circuits P^.i, the latency unit with the output on the first AND gate, the sequence control unit with an output on the latency unit, a result output and a flip-flop output on a first one of said circuits Pn.|, a result input on the logic unit E and a flip-flop output on the last one of said circuits P^.i, and the second multiplexer with a flip-flop output on the sequence control unit and respectively with a first and a second flip-flop input on the logic unit and further via respectively first and second flip-flop outputs with the first of one said circuits Pn.i.
A preferred embodiment of the search processor circuit according to the invention comprises a document management unit, which via a data output is connected with respectively the sequential and the parallel data input on the multiprocessor unit Pn, and via a document output is connected with the sequential document input on the multiprocessor unit ?„.
Preferably the search processor circuit according to the invention also comprises "Ak = 2"""" hit management units provided connected with respective result outputs and document outputs in circuits Pn-i, each hit management unit via a result input being connected with respective result outputs in the interface of the multiprocessor unit Pn,
Additional features and advantages are evident from the remaining appended dependent claims.
The invention shall now be explained in greater detailes in connection with exemplary embodiments for the separate units of the processing circuits according to the invention, and examples of embodiments thereof and a search processor circuit with a multiprocessor unit based on processing circuits of this kind, and with reference to the drawings, wherein
fig. 1 shows the principle of the search processor circuit according to the invention,
fig. 2 a comparator unit as used in the present invention,
fig. 3 the principle for how a character pattern is compared in the present invention,
fig. 4 the principle for how a second character pattern is compared in the present invention.

fig, 5 the principle for how a pattern of two characters is compared in the present invention,
fig, 6 the principle for how repetitive patterns of varying lengths are compared in the present invention,
fig, 7 a sequence control unit as used with comparison of repetitive occurrences of character patterns in the present invention,
fig. 8 the sequence control unit in fig. 7 connected together with the comparator unit in fig 2,
fig. 9 the principle for how character strings where the pattern is arbitrary are compared in the present invention,
fig. 10 a first embodiment of a binary data distribution tree for search processor circuit according to the present invention,
fig. 11 the implementation of the binary data distribution tree in fig. 10 and with use of the connection shown in fig. 8,
fig. 12 a second embodiment of a binary data distribution tree for the search processor circuit according to the present invention,
fig, 13 a third embodiment of a binary data distribution tree for the search processor circuit according to the present invention,
fig. 14 the principle for the result selector as used in the present invention,
fig. 15 the principle for gathering results from the processing circuits by using result selectors as employed in the present invention,
fig. 16 a fourth embodiment of the binary data distribution tree for the search processor according to the present invention,
fig. 17 a fifth embodiment of the data distribution tree in the search processor circuit according to the present invention,
fig. 18 the principle for how a positive result of a comparison is maintained for a certain number of characters, after the comparison in the present invention has taken place.

fig. 19 the basic operating principle for how patterns are compared according to the present invention,
fig. 20 the principle for how different documents with the use of tags for each document are managed in the present invention,
fig. 21 the principle for how the number of hits in a match is limited in the present invention,
fig. 22 schematically a data distribution tree for handling several queries simultaneously and wherein the leaf nodes comprise a plurality of processing circuits,
fig. 23 the principle for how a reduction in the number of hits can be implemented on a data distribution tree in the search processor circuit according to the present invention,
fig. 24 the embodiment of a comparator unit in the processing circuit according to the present invention,
fig. 25 the embodiment of a D flip-flop in the processing circuit according to the present invention,
fig. 26 the embodiment of a latency unit in the processing circuit according to the present invention,
fig. 27 the embodiment of a document management unit in the search processor circuit according to the present invention,
fig. 28 schematically the embodiment of a look-up-table in the search processor circuit according to the present invention,
fig. 29 the operating principle for how sequence control units are used together with processing circuits according to the present invention,
fig. 30 the embodiment of a sequence control unit in the processing circuit according to the present invention,
fig. 31 the principle for how the character strings are compared with the use of result selectors in the search processor circuit according to the present mvention.

fig. 32 the embodiment of a result selector in the processing circuit according to the present invention,
fig. 33 an embodiment of the processing circuit according to the present invention,
fig. 34 a block diagram with inputs and outputs for the processing circuit in fig 33,
fig. 35 an embodiment of the search processor circuit according to the present invention realized as a multiprocessor unit in the form of a data tree with eight processing circuits,
fig. 36 a hit management unit as used in the search processor circuit according to the present invention,
fig. 37 the search processor circuit in fig. 36 realized with the use of a document management unit as shown in fig. 27 and hit management units as shown in fig. 36, and
fig, 38 a kernel processor comprising a comparator unit and a sequence control unit.
For further explanation of the separate units and circuits these are disclosed by their Verilog codes, which are given in respective tables A1-A13 in a separate appendix of the description. - As will be known to persons skilled in the art Verilog is defined in IEEE standard 1364-1995 ("Standard Description Language Based on the Verilog Hardware Description Language").
There shall now be given a detailed discussion of the search processor circuit according to the invention. Operationally the search processor circuit as a whole is rendered as shown in fig, 1. A stream of data is shifted through the circuit and in each step the data which currently are in the circuits, are compared with some pattern of other. This pattern is coded as a bit string which is written to the circuit. The fundamental unit in the search processor circuit shall be assumed to be one byte and this byte will usually represent a character. This is, however, not of essential importance on this level and can easily be changed.

A search processor circuit according to the invention is built with a large number of kernel processors in the form of comparator units. Basic comparator units is shown in fig. 2. The register X here only contains the byte X which currently is shifted to the comparator and the register A contains the byte a which x shall be compared to. As evident from fig. 2 four comparisons can take place simullaneousiy, viz. x = a, x >a, x ?^a, x How a matching of a repetitive pattern takes place, can be described by the example below with reference to figs. 4a-e.
Fig. 4a - an "a" is shifted into the first comparator unit. None of the comparators show a match.
Fig. 4b - a "b" is shifted in and still there is no match.
Fig. 4c - another "b" is shifted in and the two last units have a match, while the first unit does not. As the two last units had a match, this now requires that the units shall remember that this match must be maintained as long as the middle umt has a match, even though the last unit does not have one.

Fig. 4d - several "b"s are shifted in and the match is maintained as described for fig. 4c. If another character besides "b" was shifted into the middle unit, the matches would both have been cleared.
Fig, 4e - a "c" is shifted into the first unit and all three units are now set to indicated a match. A pattern of the form "ab+c" has now been found.
If this method shall be used to repeat patterns consisting of more than a single character, the memory must be connected with a group of comparator units matching the pattern. This shall be described below.
In order that a method as described above shall allow the matching of repeated occurrences of patterns which are longer than a single byte, for instance of the length n it is necessary to keep track whether a match is found of each of the n possible starting points. This can be achieved by connecting n flip-flops and letting the memory bits be shifted thereto as new bytes are shifted into the units. The output from the last flip-flop will then indicate whether or not a match occurred n positions earlier. An example where patterns of two characters are matched is shown in fig, 5. Here £[;]" is the actual result from the comparisons, E[i--1] is the result from the next comparator unit used for implementing a sequence control, while E\"i\ is the new result where repeated matching is allowed if the signal m,- is set. The signal sc indicates whether the sequence control should have been used at all. If sc is not set, the matching of multiple patterns will not take place. If it should be possible to vary the length of the patterns which shall be repeated, a scheme like the one shown in fig. 6 can be used. Here a multiplexer is used for selecting the output after the /"th flip-flop, where i is the length of the pattern to match. The use of this method will, however, not be necessary, such this will be described below.
A unit which implements the sequence control necessary to achieve matching of repetitive occurrences of patterns is shown in fig. 7. This unit can be supposed to be placed together with a set of comparator units and the necessary number of D flip-flops. The multiplexer is used to decide whether multiple occurrences of patterns are allowed. The inputs and the outputs can be described as follows:

res[i] ": The res[i] " signal is a result from the comparison with the
repeatable pattern.
The sc signal specifies whether the sequence control shall be used as described in a preceding section. If ^c is set, the unit will only give a positive result if also the next unit also has a positive result. If it is not set, the result from this unit will be independent from the others and repetitive matching will not be allowed.
res[i-\]: The signal res[i-\] takes the result from the next unit and is used to implement sequence control.
ffoiulQ■" The signa] ffa,„[i] takes the output from the last flip-flop through
which the memory bits pass.
ff,,,: The signal_^„ is to be given as the input to the first fiip-flop
through which the memory bits pass.
resfij: resfij gives the new result from this unit. If the sequence
control is not used and sc is not set, then this will be equal to resfi] ", otherwise, it will be set \ires[i-\] is true, and res[i] " is true, or if repetitive patterns are allowed, ifffoui/ij is set.
ffot,r[i+^j- "^^^Jfouifi+"^J input gives the output from the flip-flops of the
preceding element. This makes it possible to connect comparator units for matching repetitive patterns with varying lengths.
In fig. 8 the sequence control unit is shown together with the comparator unit in fig. 2 and allows repetition of the character matched by the comparator unit. Here a single D flip-flop is used to represent the memory and this D flip-flop is hence set if the following conditions are true:
• Repetitive occurrences of patterns matched by these units shall be allowed.
• There is a simultaneous match for this unit and the following.
• All bytes shifted into this unit after the previous conditions becoming true, have been matches.
sc.

For this to work, ihe output from the unit which allows multiple occurrences of the matching pattern, must be used to indicate the results for both this unit and the preceding one. In order to generalize the function, sequence control is always used together with multiple pattern matching, as was described above. The unit will in other words only give a positive result if the preceding one also does.
In addition to the matching or repetitive patterns as described in the preceding sections, it can also be of interest to match strings where parts of the pattern is optional. This would take place in an analog manner and can be described by the following example with reference to figs. 9a-c.
Fig. 9a - An "a" is shifted into the first unit. None of the units have a
match.
Fig. 9b - A "c" is shifted in and there is now a match on the first unit.
The middle unit shall now remember this.
Fig. 9c - The characters are shifted to the right and there is now a match
on the last unit. Since there also was same match on the middle unit one character ago, the units have now matched the string ac .
In order for this to take place the sequence control must function in opposite direction than which was the case when repeated patterns were matched.
There shall now be described a tree structure for distributing data to the comparator units. As a data distribution tree a complete balanced binary tree as shown in fig. 10 is used. On each internal node in the tree 3 a multiplexer decides whether two subtrees shall receive the same data elements in parallel or whether they shall receive the elements sequentially. A simple implementation of the binary data distribution tree in fig. 10 is shown in fig. 11.
It is now possible to take another look at the matching of occurring repetitive and skipped patterns, as described above. The processing circuit shown in fig, 8 is used for generating the tree structure in fig. 11, and repeated and skipped patterns shall now be matched on several levels. In order to simplify

this, it will be assumed that this only will be allowed for individual characters or for the whole tree which consists of eight processing circuits.
There are now basically six different modes of operation for this tree:
• No sequence control is employed - the results of each from eight processing circuits are independent.
• Plain sequence control is used - only the result from the processing circuit 0 will be used and this will be positive only if all eight comparator units have matches.
• Repetitive matches are allowed for one or several of the comparator units,
• Skipped matches are allowed for one or several of the comparator units.
• Repetitive matches are allowed for the whole tree.
• Skipped matches are allowed for the whole tree.
The first of the above-mentioned points are handled by the processing circuit as shown in fig. 8, the fourth by an analog element for skipping patterns. The last two, however, requires that another sequence control unit, as shown in fig. 7, is used for the whole tree. As can be seen in this figure, the correct number of flip-flops must be available for this unit. The numher of flip-flops which shall be used must be equal to the number of characters in the pattern which shall be repeated, but this may vary from one to eight depending on the configuration of the multiplexers which defines the tree. A solution similar to the one in fig. 6 is evident, but this will make the programming of the circuit more complicated and more error prone, as the number of characters which is to be repeated essentially is set twice, once in the definition of the tree and once in the multiplexer which selects the result from the correct flip-flop. Fortunately a more elegant solution can be obtained by using the flip-flops which already are present in the processing circuits in the tree. As the number of characters in the tree at any given time is given by the data flow, the multiplexers that are used for data distribution, can also be used for routing the memory bits through the correct number of flip-flops. An implementation of this is shown in fig. 12, and as the same flip-flops are used for repetitions on two possible levels, the pattern can be

repeated on one of the levels at a time. It should be remarked that repetitive patterns on several levels simultaneously will not be possible by using this method in any case, as the length of the repeatable string will vary. Another complication is that each processing circuit requires results from the preceding comparator unit in order to implement the sequence control, but this will be described below.
It is now possible to extend the tree structure. As shown in fig. 13 a total number of 32 kernel processors or comparator units is used and allows repetitions on a single processing circuit, on a group of eight processing circuits, or on the whole tree. - The same reasoning also applies to the skipping of patterns.
Basically it is two practical ways of collecting the results from a data gathering tree and as described in the preceding section. The most natural is to use another binary tree where the results are combined at each internal node. This, however, has a number of disadvantages, as it makes it impossible to perform certain operations such as requiring that a definite number of processing circuits shall have matches. If the number of processing circuits is relatively small, it will be possible to use a look-up-table LUT. This will, however, be problematic when repeated matches are allowed, as matching is not defined for a part of the tree. These two methods shall be described in the sections below, followed by a discussion of their advantages and disadvantages. First shall be described how results are gathered with the use of a binary tree.
Given the results from two processing circuits it is a natural way of combining them to perform one of the logic operations AND and OR. It may also be advantageous to be able to select only one of the results and ignore the other. A unit which performs this operation is shown in fig. 14 and shali in the following be denoted as a selector. Such units can now be combined in a binary tree and provide a single result from a group of processing circuits, as shown in fig, 15, It will also be possible to use a binary tree for comparing strings alphabetically or numerically, something which will be described in the following. The problem which is mentioned above, namely obtaining the result of the comparison of the character before and after the one being processed by the current processing circuit, can now be dealt with. Firstly,

only the preceding or the following results will be necessary at any given time, as the matching of both repeated and skipped patterns cannot be performed simultaneously. This implies, due to the sequence control used, that the result-gathering tree can be configured such that it either selects the right or (eft results for arbitrary processing circuits which looks at different characters. The result for any subtree of « units can be found « levels up in the result gathering tree. If several units look at the same character, will secondly their preceding and following results also be the same. These results can be found by using the same multiplexers for controlling the data flow, such that a result only is passed on to any neighbouring units which look at the same character.
Fig. 16 shows how the embodiment can be completed with the use of this method. It is important to note that the same set of multiplexers are now used for three different purposes, viz. distributing the data, routing the memory bits through the right number of flip-flops and for providing the results from comparisons of the preceding and following characters.
A simple way of combining a result from the different processing circuits is the use of a look-up table (LUT). It is then possible to specify any requirements to the different processing circuits, including counting the number of matches, and as long a reasonable small tree is used, the size of the look-up table LUT shall not be too large. With eight comparator units it is necessary to set 2 = 256 bits and this will be manageable. A possible implementation of the data distribution tree with LUT is given in fig. 17. The main disadvantage by using this method is that repetitive occurrences of patterns cannot be matched unless this takes place on the level of a single processing circuit or for the whole tree. This is due to the fact that with a LUT there will not be defined any combined results for a character which is examined by 2n units, but this is necessary in order that the sequence control shall work.
In certain situations it can be desirable to maintain hits for a longer time period, i.e. when a match has been found, the positive result will be maintained for a certain number of characters after the actual match. This can be used to perform searches where matches for several patterns shall be found within certain distances of each other. A simple implementation of this

is shown in fig. 18. Here some numerical value n is stored in a register and when a match is found, this value is shifted into the counter. The final result is thus maintained at a positive value until the counter reaches 0 and any match will thus have a latency of « characters. This latency is called the hit latency.
Based on the above, it is evident that the use of trees and LUTs in order to gather results both have advantages and disadvantages. The tree method makes matching of repetitive patterns easy, but allows only specific logic functions while the LUT method allows all possible functions, but makes matching of repetitive patterns more cumbersome.
The obvious solution is hence to use both methods as this gives the user the possibility to choose the best method in each case, but this leads to additional overhead. Another possibility is to use different methods in different parts of the tree. For instance the tree method can be used of low levels, where repeated patterns are most desirable, and a LUT on higher levels, where counting the number of hits for different patterns can be desirable, or alternatively both methods at low levels and only LUTs at high levels.
Textual data are often divided into a set of non-overlapping documents and when searches are performed on such documents, it is usually undesirable to retrieve matches that span several documents. Jt is hence necessary with some method or other to avoid this. Ignoring the data distribution tree, fig. 19 illustrates the basic operation of a search processor circuit for matching of patterns ("Pattern Matching Chip" (PMC)). Each processing circuit is here supposed to work with only a single character in the text. The document separation can now be handled with use of the setup shown in fig. 20. Here a special pattern-matching unit placed at the beginning of the data path searches for a tag which indicates the beginning of a new document and a signal which indicates this, is passed along together with the data to each processing circuit. Given this signal, the processing circuits can reset all latencies which may be set. This signal is also passed further onto the result selectors and the look-up tables LUTs which gather the results, such that positive result are accepted only when they occur within the same document.
Given the pattern matching capabilities which are described in the above, there are still two problems that must be solved.

• The number of hits shall be sufficiently limited for the receiving system to handle.
• It must be possible to handle different queries simultaneously in different part of the data distribution tree.
These two issues are related and will be discussed in the following.
Limiting the number of hits shall first be described. As facilities for returning hits from the pattern matching chip to a host processor probably shall have a limited capacity and the hits often arise in groups, it is necessary with some method or other to limit the number of hits. There are two obvious solutions to this problem:
• Report only one hit for some number of adjacent characters.
• Report only one hit for each document.
The natural choice is to use both these methods. Fig. 21 shows how this can be done. The flip-flop D keeps track of whether hits are reported within the current hit range, and the first input on the multiplexer MUX is chosen if all hits shall be reported. In addition, a counter could be used to count the number of hits, such that this number is returned instead if the actual hits.If only one hit per document is reported, then this count will be the number of documents with hits.
Now shall the management of multiple queries be discussed. It can be a great advantage if the pattern matching chip (the search processor circuit) is able to handle several simultaneous queries. As the number of processing circuits which is necessary for single queries likely will vary greatly, it should be possible to configure this individually. One practical way of doing this is to let a part of the data distribution tree set up an individual query. The problem with this is that a very large number of results, 2«-l for n processing circuits, must be returned to the receiving system, i.e. for 512 processing circuits the numheT of possible results will be 2-512-1=1023, something which usually is regarded as too large. The most natural way of limiting this number is to set a lower limit for the number of processing circuits, which shall be used for a single query. A reasonable choice is here 16 and with 512 circuits this gives 2~-l = 63 possible results, which is an acceptable number. Fig. 22 shows

schematically these possible results, as the boxes on the lower level of the tree consist of 16 processing circuits (or comparator units). When this is combined with the method described in the preceding section, a hit limitation unit could be included for each possible result. As it, however, never will be more than -^ simultaneous queries, this number of circuits will be sufficient. For this to work, it is necessary with several hit limitation units to take the results from the number of possible queries, as these queries must be chosen such that none can be active simultaneously. Fig. 23 shows how this can be done, as the possible query points in black here have their own hit limitation unit while only the white query points distribute their results as shown by the arrows. In addition it is necessary to set a bit for each of the possible queries in order to indicate whether the result in question actually represents an independent query.
Now a detailed description of a processing circuit according to the invention shall be given. The processing circuit can be regarded as a module of the search processors and hence be described as search module. The detailed description will refer to Verilog codes for the separate units, as these codes as mentioned are given in tables of an appendix appended to the description.
The processing circuit or the search processor circuit includes a clock and the Verilog code for this clock is shown in table Al of the appendix and the clock signal CLK is in any case given to respectively the comparator unit and the D flip-flops in the processing circuit or to all comparator units and D flip-flop in the search processor circuit. This is trivial and the provision of the clock and the clock signal lines is hence not shown.
The kernel processor or the comparator unit is shown in fig.24. It , of course, corresponds to the kernel processor or comparator unit in fig. 2. The register 6 contains as before the byte x which presently is shifted into the unit and the register 7 contains the byte a which x shall be compared with. As it can be seen from fig.24, four comparisons can be performed, viz. x = a, x >a, x i^a, X

The latency unit LAT is shown in fig. 26 and is used for delaying a positive binary value res_ for a certain number of cycles. The register 9 contains the latency and the counter 8 is used for counting down from the time when a positive result is registered, res gives the modified result signal and the " signal _reset resets the counter to 0. The Verilog code which implements the

latency unit LAT is shown in table A4 of the appendix and an explanation of the interface given by its inputs and outputs is evident from table 3.

The document management unit DOC is shown in fig. 27. It is used for letting the search processor circuit keeping track of various documents. The three comparator units or a suffix thereof are set to match a tag indicating a new document and the signal doc is set equal to 0 when this tag appears. The figure is slightly modified, as when less than three characters are used in a tag, the comparator unit or units farthest to the left shall not influence the result. The latency shall be set equal to the number of characters in the tag, viz 1 to 3. The Verilog code which implements this unit is given in table A5 of the appendix and an explanation ofthe interface given by its inputs and outputs is evident from table 4.


The look-up table unit LUT with 8 inputs is shown in fig. 28. res gives the result. The Verilog code for the look-up table unit is given in table A6 of the appendix, while an explanation of the interface given by its inputs and outputs is evident from table 5.


The sequence control unit SC is used for realizing the following three possibility:
• Requiring that the preceding or a succeeding processing circuit or comparator unit reports a match before allowing the current to report a match.
• Allowing matching of patterns where certain parts of the pattern may be missing.
• Allowing matching of patterns where certain parts of the pattern may be
repeated.
The sequence control unit SC is placed together with a processing circuit which can be a single kernel processor or comparator unit or a group of processing circuits as well as a set of flip-flops. The number of flip-flops shall be equal the number of characters matched by the processing circuit. This is shown in fig. 29. A sei^uence control unit SC can hence be implemented as shown in fig. 30. Here three result values and values from the present and previous flip-flops are used as inputs and a new result value is output. It is now quite simply a matter of specifying the behaviour of the sequence control unit SC which is purely combinatorial.
Truth tables for five cases, viz. no sequence control, forward sequence control, backward sequence control, matching of repeated patterns and matching of skipped patterns are respectively shown in the tables 6-10,



Even if it is not shown in the tables, the output^_o«; in the first three cases shall be set equal to the output from the flip-flops in a previous processing circuit for allowing flip-flop values to flow through parts of the system. Based on the appended truth value tables, the symbolic forms shown in table 11 are easily derived. The resulting sequence control unit is shov/n in fig. 30 and the multiplexer here chooses between 5 operation modes, numbered from right to left and from top to bottom as shown in table 11.



The result selector RS is used for combining two result values from processing circuits or other results selectors. The following operations shall be supported:
• Using only the first result.
• Using only the second result.
• Performing the boolean operation AND on two results.
• Performing the boolean operation OR on two results.
• Performing the operation • Performing the operation > over a set of processing circuits.
The first four operations can be handled directly, the others are more complicated. Fig. 31 shows an example of how it is possible to match all strings alphabetically/numerically larger than 1990. To start with selector RS2; if the character which is matched by the ngthmost processing unit and which is the leftmost digit in the number that is being matched, is larger than 1, this means that the whole number is larger and this will be the result. In this example they ar equal and the other processing circuits decide. Selector RS2 thus returns equality. Selector RSI once again follows the same principle. Here the second selector RS2 returns equality, while the first selector RSI returns that the value is larger and this is the result from RSI. Sflpntnr RS3 doRs the same and resturns that a number greater than 1990 has

been found. For cases where alphabetic a!/numerical comparisons are made is res given as res = eq2res\ ± eqlresl.
Based on the above features, the result selector implemented will look as shown m fig. 32. The multiplexer MUX4 selects between the five operation modes; selects first result, selects the second result and performs the AND operation on results, performs the OR operation on results and performs string comparison.
The Verilog code which implements this unit is shown in table A8 of the appendix and an explanation of the interface given by its inputs and outputs are evident from table 13. The document signals are now used together with the document management unit to avoid acceptance of results which cross document borders.

The processing circuit according to the invention is shown in fig. 33. It consists of at least one comparator unit COM, one latency unit LAT, D flip-flops 2,4, a sequence control unit SC and a result selector RS. A block

version of the processing circuit with inputs and outputs is shown in fig.34. The Verilog code which implements the processing circuit is given in table A9 of the appendix, and an explanation of the interface given by its inputs and outputs is evident from table 14.





A data tree, i.e. a search processor circuit PMC according to the invention in the form of a multiprocessor unit Pn is shown in fig,35. The multiprocessor unit IS configured as a tree and consists therein of eight processing units Pn_|, a sequence control unit SC, a latency unit LAT and a LUT. The circuit Pn or the data tree which may be denominated as "TreeS" has precisely the same interface as the processing circuits and this means that each processing circuit in the tree can be replaced by a tree of this kind, such that the tree can consist of any number of levels. This again implies that the data tree shall comprise a number of nested circuits P^.^, such that each circuit on an underlying level S^.q is nested in a circuit on the overlying level S|,.q+], if the data tree itself forms the highest level, namely the level S„ and q e{l,2,,--,ni. If the tree is a regular tree, e.g. a binary tree, the processing circuit P„.q on a level will map the processing circuit Pn-q+i on the overlying level S|]-qM recursively and in case of a binary tree of course with a mapping factor of 2. As the general search problem, as mentioned in the introduction, can be regarded as a binary partitionable problem, it is of course nothing against managing a partitioning problem of this kind with for instance a tree where the degree is not 2, but 4,8, 16 etc., a circumstance which here is called superbinary. Generally one has the degree k = 2"", where m for superbinary trees are an integer greater than 1, in other words k > 2. In a recursive mapping the mapping factor is of course r = k. The tree in fig, 35 may for instance be regarded as a processor with two levels S|, S2, as the first level comprises the eight processing circuits Pi which then again for instance each corresponds to the processing circuit in fig. 33, and consequently each comprises only one kernel processor or one comparator element COM.The kernel processors are thus the leaf nodes of the tree and forms the zeroth level So in the tree, realized by the circuits Po on the zeroth level in the tree, while the processing circuit P] comprises a kernel processing unit Po and a logic element E, represented by the remaining components in the processing circuit in fig. 33. On the level S2 the circuit is identical with the search processor itself and this then in addition to the eight processing circuits Pi on

the level S] itself comprises a logic unit E substantially comprising the mentioned sequence control unit SC, a latency unit LAT and a LUT. Such described the multiprocessor unit P^ in fig. 35 becomes a symmetric and balanced reduced tree, as each processing circuit Pi only comprises a single comparator unit. It is, however, nothing against that the search processor circuit or the treeS is realized as a regular unreduced tree of degree 8 and then the eight processing circuits Pi, on the first level each would comprise eight kernel processors or comparator units Po, such that it will be 64 in total. If the tree is extended with yet a level and regularity kept, the zeroth level So would come out with 512 kernel processors or comparator units, the first level Si with 64 processing circuit P|, the second level Sj with 8 processing circuits Pi and the search processor unit circuit would be made up of the circuit Vi on the level S3. The Verilog code which implements the ireeS as shown in fig. 35 is given in the table AlO of the appendix and an explanation of the interface of the search processing circuit as given by its inputs and output is evident from table 15.





If the search processor for instance is realized with, respectively 64 or 512 kernel processors, the search processor can very well be realized as a nested circuit in the form of a regular tree with the mapping factor 8, but can also be realized directly as respectively a tree64 or a tree512. In any case the Verilog code for a search processor with 64 kernel processors shown in table Al 1 of the appendix, while the Verilog code for a search processor with 512 kernel processors is shown in table A12 of the appendix.

The hit management unit HIT is shown in fig. 36. The flip-flop is here used to keep track of whether the next unit shall be reported or not. The Verilog code which implements the hit management unit is shown in table A13 of the appendix and an explanation of the interface given by its inputs and outputs ) is evident in table 16.

How respectively the document management unit DOC and the hit management unit HIT is provided in a search processing circuit PMC in the form of a multiprocessor unit ?„ or a treeS as shown in fig.35 is evident from the appended fig. 37. For reasons of clarity is only the connection between DOC and HIT and the multiprocessor unit shown in fig 37, while the remaining signal lines have been left out. It will there be seen that the search processor circuit PMC in addition to the multiprocessor unit Pp here comprises a document management unit DOC which via a data output is connected with respectively the sequential and the parallel data input on the multiprocessor unit V„ and via a document output with the sequential document input on the multiprocessor unit P^. Further, the search processor circuit PMC also comprises "Ak = 2*""" = 2^"" = 4 hit management units

HITl-4 connected with respectively result outputs and document outputs on the processing circuits P^_i, each hit management unit HIT via a result output being connected with respective result outputs in the interface of the search processor circuit, A hit management unit is in addition schematically shown in fig. 21 and discussed in connection therewith. Further, the provision of the hit management unit HIT in a search processor circuit PMC realized as a multiprocessor circuit with tree structure shown in fig. 23, and there has in connection with this figure already been described how the hit management unit can be used for reporting results from multiple questions. It shall hence not be treated more closely here. It shall, however, be remarked that hit management units only are provided in the black nodes, while the white nodes in fig. 23 distributes their results as shown with the arrows.
It is thus on the input of two of the hit management units as shown in fig. 37 provided one respective two multiplexers.
In a practical implementation on a circuit chip the search processor circuit PMC according to the invention preferably will be realized as a superbinary tree with k for instance equal to 2 , 2"" or 2^ and hence appear as a superbinary tree with a balanced reduction on the zeroth level. This again implies that the multiprocessor unit P,i becomes equal to P2 and forms respectively a trecS with 8 processor units P|, or a tree64 with 64 processing units Pi or a tree512 with 512 processing units P| which in their turn each comprises a single comparator unit COM. On the zeroth level is hence the tree reduced. Then also the logic unit E in each processing circuit Pi can be realized with only one single sequence control unit SC, apart from flip-flops, the multiplexer MUXl, the latency unit LAT and the result selector RS.
Finally it shall be remarked that the multiprocessor unit P,, in the search processor circuit PMC according of the invention forms a special case of multiprocessor architectures based on tree networks of arbitrary degree and with an arbitrary number of levels, such these are disclosed in the above-mentioned Indian patent application No. IN/PCT/2001/00562CHE (Halaas & a!.) which belongs to the present applicant and which derives priority from NO patent application No. 19984746 of 9 October 1998. A particular feature of a multiple processor architecture of this kind is that the single data processors only is provided on the zeroth levels in the tree

structure or correspond to the processing circuits on the first level in the tree structure if the tree is a reduced tree. If the reduction is symmetric and balanced on the zeroth level So, the circuit is additionally generated recursively on overlying levels S;, ...S„ by the processing circuit Pi on the level Si- Such circuits can be implemented with particularly good economy to handle data processing problems with arbitrary degree of partitioning, the classical search problem of course, being a generally binary partitionable data processing problem..
With basis in Halaas & al, a kernel processor Po hence formally will correspond to the connection in fig.8 and comprises in addition to the processor proper the comparator unit COM, a latency unit LAT, a sequence control SC, the necessary number of flip-flops 2, 4 and logic gates 1, 3 as shown in fig, 38. for each comparator unit COM it must, of course, be provided a sequence control unit SC, cf fig. 29, The processing circuit P| in fig, 33 could thus in reality comprise two or possibly more comparator units with a logic unit E provided with the corresponding number of sequence control units SC, The interface of a circuit PQ with a comparator unit COM which formally is the kernel processor proper 17 and a sequence control unit SC will then be given by the inputs and the outputs of the circuits such they are evident from table 17,



In practice the search processor circuit PMC according to the present invention is implemented as an integrated circuit chip solution and preferably this is realised as a superfainary tree where the processing circuits P| on the level S| has only a single comparator COM. All actual processing (search and matching operations) then take place on this level. An implementation where the search processor circuit F^ = Pi, i.e. formally with 3 levels, forms a superbinary tree with for instance 512 processing circuits Pi, further provides an extremely good degree of exploitation of the circuit chip.



















































We claim
1. A processing circuit (P|) for recognition and comparison of complex patterns in high-speed data streams, particularly for use in search engines for search and retrieval of data stored in structured or unstructured databases and wherein the processing circuit (Pi) forms a node in a network of such processing circuits, characterized in that the processing circuit (Pj) comprises an interface with inputs and outputs for data which respectively are configuring and operational parameters for the processing circuit (Pj), the configuring parameters being supplied to the processing circuit (Pj) via unspecified or dedicated inputs in the interface once and for all for a giving processing task and the operational data which are processed by execution of the given processing task being continuously input to or output from the processing circuit (Pi) via specified respective inputs and outputs of the interface, at least one kernel processor (Po) in the form of a comparator unit (COM), the comparator unit (COM) being adapted for comparing two data words, and a logic unit (E) connected with the comparator unit, the logic unit comprising a multiplexer (MUX 1) connected with the following inputs in the interface: a sequential data input, a sequential document input, a sequential flip-flop input, a sequential resuh input from a preceding processing circuit, a sequential result input from a succeeding processing circuit, a parallel data input, a parallel document input, a parallel flip-flop input, a parallel result mput on a preceding processing circuit and a parallel result input on a succeeding processing circuit; and with the following outputs in the interface: an output for a selected data value, an output for a selected document value, an output for selected flip-flop value, an output for a selected result to a preceding processing circuit, and an output for

selected result to a succeeding processing circuit; a first D flip-flop (2); a latency unit (LA T) which is adapted to delay a positive binary value with a given number of time units; a second D flip-flop (4); a sequence control unit (SC) which is adapted to monitor and control a comparison operation in the comparator unit (COM), and a result selector which is adapted to combine two result values from other processing circuits or other result selectors; that the comparator unit (COM) is connected with an output for a selected data value on a multiplexer (MUX 1) and with a data output in the interface and further has a result output connected with a first AND gate (1) and an equality output connected with a second AND gate (3), that the first D flip-flop is connected with an output for a selected document value on the multiplexer (MUXl) and has a reset output which via a reset line (5) is connected respectively with an input on the first AND gate (1), an input on the second AND gate (3), and an input on the latency unit (LAT) as welt as with a document input in the interface, that the second AND gate (3) has an equality output in the interface, that the latency unit (LA T) is connected with the output on the first AND gate (1) and with a result input on the sequence control unit (SC), that the second D flip-flop (4) is connected with the reset output on the first D flip-flop (2) and respectively a flip-flop output and flip-flop input on the sequence control unit (SC) as well as with a flip-flop output in the interface, that the sequence control unit (SC) is connected with the output for a selected flip-flop value and the output for a selected result from a preceding
processing circuit on the multiplexer (MUXl) and with a result input on a preceding processing circuit and result output in the interface, and that the result selector (RS) is connected with respectively a first result input, a first document input, a first equality

input, a second result input, a second document input, and a second equality input in the interface and respectively with a result output, a document output and an equahty output in the
interface.
2. The processing circii 3. The processing circuit (P|) as claimed in claim 1, wherein the latency unit (LAT) comprises a counter (8) which respectively via a first input is connected with the output of the second AND gate (3) and via a second input with the reset line (5), the counter (8) being connected with a latency register (9) which contains a configuring latency parameter, and has a output which is the result output of the latency unit.
4. The processing circuit (P|) as claimed in claim 1, wherein the sequence control unit (SC) comprises a first AND gate (10) connected with a result input on a preceding processing circuit and a result output on the latency unit (LAT), a first OR

gate (11) connected respectively with an output of the first AND gate (10) and an output on the second D flip-flop (4), a second AND gate (12) connected with the result output of the latency unit (LAT) and the result input on a succeeding processing circuit, a second OR gate (13) connected with the resuh input on a succeeding processing circuit and the output on the second D flip-flop (4), a third AND gate (14) connected with the result output on the latency unit (LAT) and with an output on the OR gate (13), and a multiplexer (MUX3) connected respectively with the result output of the latency unit (LA T), an output on each of the AND gates (10,12,14) and the first OR gate (11), the result input on a preceding processing circuit and the flip-flop output on a succeeding processing circuit, an output of the sequence control unit (SC) forming the result output of the processing circuit (Pj).
5. The processing circuit (Pj) as claimed in claim 1, wherein the sequence control
unit (SC) implements one or more of the following sequence control operations;
-allowing the comparator unit (COM) to output a comparison result dependent on the
preceding or the succeeding unit outputting a comparison result,
-allowing a comparison with patterns where parts of the pattern are missing, -allowing comparison with patterns where parts of the pattern are repeated.
6. The processing circuit (Pi) as claimed in claim 5, wherein the configuring
parameter S is input as binary 1 if a sequence control operation shall take place, that
the configuring parameter D is input as binary 0 or binary 1 for respectively a forward
sequence control and a backward sequence control, and that the configuring parameter

MM is input as binary 1 if patterns with missing parts or repeating patterns are allowed for comparison.
7. The processing circuit (P,) as claimed in claim 1, wherein the result selector
(RS) comprises a first AND gate (15) connected with the first equality input and the second equality input, a second AND gate (16) connected with the first result input and the second result input, a third AND gate (17) connected with the first result input and the second equality input, a NOT gate (18) connected with the second equality input, a fourth AND gate (19) connected with the second result input and the output on the NOT gate (18), a first OR gate (20) connected respectively with the first and the second equality input, a second OR gate (21) connected respectively with the first and the second equality input, a third OR gate (22) connected respectively with the output of the third and the forth AND gate (17; 19), a multiplexer (MUX4) connected respectively with the first result input, the second result input, the first equality input, the second equality input, the output from respectively the first and second AND gate (15; 16), and with the output from respectively the first, the second and third OR gate (20;21 ;22), a fifth AND gate (23) connected respectively with the first and second document input and with the document output in the interface, a sixth AND gate (24) connected respectively with the output of the fifth AND gate (23), a first output on the multiplexer (MUX4) and the result output in the interface, and a seventh AND gate (25) connected with respectively the fifth AND gate (23) and a second output on the multiplexer (MUX4) as well as the equality output in the interface.

8. The processing circuit (Pi) as claimed in claim 1, wherein the configuring parameter RM for the result selector (RS) is entered as 3-bit binary values specific for each of the operations which the result selector implements.
9. A search processor circuit (PM C) comprising a multiprocessor unit Pn with tree structure for recognition and comparison of complex patterns in high speed data streams, particularly for use in search engines for search and retrieval of data stored in structured or unstructured databases wherein the multiprocessor unit Pn comprises processing circuits Pi according to claim 1 and wherein the multiprocessor unit ?„ forms a circuit realized as a binary or super binary tree with n+l levels So, S],..,Sn and degree k = 2"". wherein m is a positive integer larger or equal to 1, and a super binary tree defined by k > 2, characterized in that the multiprocessor unit Pn is provided on the level Sn and forms a root node in the tree and comprises an interface Ipn and a logic unit E, that the nearest underlying level S^.i comprises 2"" circuits Pn.i which are provided nested in the multiprocessor unit P^ and form the child nodes thereof, each of the circuits P „-i having identical interfaces Ip n-i and comprising a corresponding logic unit E that the multiprocessor unit Pn on an underlying level S n-q, q E {1.2...n -1}) generally comprises 2""" circuits Pn-q each with interface I pn-q and corresponding logic units (E) and provided nested in the 2 """"^"""circuits P ^.q+i on the overlying level S n-q+i each circuit P n.q+i on this level comprising 2 "" cncuits P ^-q that a zero"" level for
n -q = So defined for the multiprocessor unit ?„ for q = n = So comprises from 2 """"""^ to 2"™ kernel processors Po which form comparator units (COM) in the 2 ""*""" processing

circuits P, on the level S, that each of processing circuits Pi comprises from one to 2"" comparator units (COM) and each has interfaces Ip, and corresponding logic units (E),
that generally all circuits P1, P2 Pn on the levels S,, Sj... S„ have identical interfaces
I such that Ipi = Ip2 = Ipn = I, and that each logic unit (E) comprises a result selector (RS) or a look-up-table unit (LUT) for collecting the resuhs of a search operation or a comparison operation executed by the processing circuits Pi on the level Sj.
10. The search processor circuit (PMC) as claimed in claim 9, wherein each processing circuit Pi on the level S| comprises 2" comparator units (COM), such that the multiprocessor unit Pn forms an unreduced binary or super binary tree, that a processing circuit P| maps a circuit P2 on the overlying level with a factor r = 2™, and that generally a circuit P n.q on the level S ^.q for q E {l,2,...n-l} maps a circuit P n-q+i on the overlying level S n-q+i recursively with the factor r = 2"", such that the binary or super binary tree which configures the circuit Pn in each case comprises a from the level S| on recursively generated binary or super binary tree.
11. The search processor circuit (PMC) as claimed in claim 9, wherein
logic unit (E) comprises latency unit (LA T) and a sequence control unit (SC) as well as a look-up-table unit (LUT), characterized in that the logic unit (E) further comprises a first AND gate (26), a first multiplexer (MUX5), a second AND gate (27) and a second multiplexer (MUX6), that look-up-table unit (LUT) is connected with a result output on each processing circuit P „.] in the immediately underlying level, the first AND

gate (26) with a document output on each of the said circuits P n-i, the second AND gate (27) with the output of the first AND gate (26) and the output of the look-up-table unit (LUT), the first multiplexer (MUX5) with the output on the second AND gate (27) and a second result output on a last one of said circuits P n-i, the latency unit (LA T) with the output on the first AND gate (26), the sequence control unit (SC) with an output on the latency unit (LA T), a result output and a fiip-flop output on a first one of said circuits P n-i, a result input on the ]ogic unit E and a flip-flop output on the last one of C said circuits P n-i, and the second multiplexer (MUX6) with a flip-flop output on the sequence control unit (SC) and respectively with a first and a second flip-flop input on the logic unit (E) and further via respectively first and second flip-flop outputs with the first of one said circuits P ^-i
12. The search processor circuit (PMC) as claimed in claim 11, wherein the search processor circuit (PMC) comprises a document management unit (DOC) which via a data output is connected with respectively the sequential and the parallel data input on the multiprocessor unit Pn, and via a document output is connected with the sequential document input on the multiprocessor unit ?„.
13. The search processor circuit as claimed in claim U, wherein the search processor circuit (PMC) comprises ]/2k = 2 """" hit management units (HIT) provided connected with respective result outputs and document outputs in circuits P ^.i each hit management unit

(HIT) via a result input being connected with respective result outputs in the interface of the multiprocessor unit P „.

Documents:

in-pct-2001-0797-che abstract-duplicate.pdf

in-pct-2001-0797-che abstract.jpg

in-pct-2001-0797-che abstract.pdf

in-pct-2001-0797-che abstract_1.jpg

in-pct-2001-0797-che claims-duplicate.pdf

in-pct-2001-0797-che claims.pdf

in-pct-2001-0797-che correspondence-others.pdf

in-pct-2001-0797-che correspondence-po.pdf

in-pct-2001-0797-che description (complete)-duplicate.pdf

in-pct-2001-0797-che description (complete).pdf

in-pct-2001-0797-che drawings-duplicate.pdf

in-pct-2001-0797-che drawings.pdf

in-pct-2001-0797-che form-1.pdf

in-pct-2001-0797-che form-19.pdf

in-pct-2001-0797-che form-26.pdf

in-pct-2001-0797-che form-3.pdf

in-pct-2001-0797-che form-5.pdf

in-pct-2001-0797-che petition.pdf


Patent Number 210760
Indian Patent Application Number IN/PCT/2001/797/CHE
PG Journal Number 50/2007
Publication Date 14-Dec-2007
Grant Date 08-Oct-2007
Date of Filing 11-Jun-2001
Name of Patentee M/S. FAST SEARCH & TRANSFER ASA
Applicant Address P.O. Box 1677, Vika, N-0120 Oslo,
Inventors:
# Inventor's Name Inventor's Address
1 SVINGEN, Borge Borkdalen 6, N-7550 Hommelvik,
2 HALAAS, Arne Overlege Bratts vei 60, N-7026 Trondheim,
3 BIRKELAND, Olaf, Rene Prof. Dahls gate 21, B N-0353 Oslo,
PCT International Classification Number G06F 17/30
PCT International Application Number PCT/NO1999/000344
PCT International Filing date 1999-11-12
PCT Conventions:
# PCT Application Number Date of Convention Priority Country
1 19985315 1998-11-13 Norway