Title of Invention

"A METHOD OF FORMING A DEVICE STRUCTURE AND SEMICONDUCTOR THEREFOR"

Abstract A method including forming a via dielectric layer on a semiconductor device substrate; forming a trench dielectric layer on the via dielectric layer; forming a trench through the trench dielectric layer to expose the via dielectric layer; forming a via in the via dielectric layer through the trench to expose the substrate; and forming a semiconductor material in the via and in the trench. An apparatus including a device substrate; a dielectric layer formed on a surface of the device substrate; and a device base formed on the dielectric layer including a crystalline structure derived from the device substrate.
Full Text SEMICONDUCTOR CHANNEL ON INSULATOR STRUCTURE
BACKGROUND FIELD
[0001] Device structures. BACKGROUND
[0002] Transistors and other devices are connected together to form circuits, such as very large scale integrated circuits, ultra-large scale integrated circuits, memory, and other types of circuits. When the size of transistors, for example, is reduced and device compaction is increased, problems may arise concerning parasitic capacitance, off-state leakage, power consumption, and other characteristics of a device. Semiconductor on insulator (SOI) structures have been proposed in an attempt to overcome some of these problems. However, SOI structures generally have a high rate of defects, as it is difficult to produce thin, uniform semiconductor layers in fabrication. Defect problems in SOI structures include defects within a single wafer (e.g., the thickness of a wafer differs at various points on the wafer) and defects from wafer to wafer (e.g., an inconsistent mean silicon layer thickness among SOI wafers). As transistor devices are made smaller, channel length is generally reduced. Reduction in the channel length generally results in an increased device speed, as gate delay typically decreases. However, a number of side effects may arise when channel length is reduced. Such negative side effects may include, among others, increased off-state leakage current due to threshold voltage roll-off (e.g., short channel effects).
[0003] One way of increasing device speed is to use higher carrier mobility semiconductor materials to form the channel. Carrier mobility is generally a measure of the velocity at which carriers flow in a semiconductor material under an external unit electric field. In a transistor device, carrier mobility is a measure of the velocity at which carriers (e.g., electrons and holes) flow through or across a device channel in an inversion layer. For example, higher carrier mobility has been found in narrow bandgap materials
that include germanium (Ge). Germanium has electron and hole mobility of 3900 square centimeters per volt-seconds (cm2/Vsec) and 1900 cm2/Vsec, respectively, which are higher than that of electron and hole mobility of silicon, which are 1500 cmVVsec and 450 cm2/Vsec, respectively. The bandgap associated with a semiconductor material is generally based on the difference between the conduction band edge and valence band edge. Generally, higher mobility semiconductor materials have a narrower bandgap. With germanium, for example, the bandgap is approximately 0.67 electron-volts (eV), which is relatively small compared to that of silicon, which is approximately 1.1 eV.
[0004] For 300 millimeters (mm) wafers, it is difficult to grow a single crystal of high carrier mobility material. One way to use higher carrier mobility semiconductor materials in 300mm or larger wafer size device fabrication is to grow the material epitaxially on a 300mm or larger silicon carrier wafer. However, there is generally a large lattice mismatch between the high carrier mobility material and silicon. This large lattice mismatch tends to results in a high level of defects in the as grown epitaxial high mobility layer. One technique to reduce the defect density in the epitaxial layer is to introduce a graded buffer layer between the silicon carrier and the high carrier mobility material. By utilizing a graded buffer layer, the lattice parameter is varied in the buffer layer to serve as a transition between, for example, the silicon carrier to the higher carrier mobility epitaxial layer in a gradual fashion from the silicon material with a small or lower lattice mismatch being at the silicon interface and throughout the buffer layer. However, even though this graded buffer layer will tend to reduce some of the defects due to lattice mismatch, it is generally not sufficient to produce acceptable quality epitaxial layers for device applications.
BRIEF DESCRIPTION OF THE DRAWINGS
[0005] Features, aspects, and advantages of embodiments will become more thoroughly apparent from the following detailed description, appended claims, and accompanying drawings in which:
[0006] Figure 1 shows a cross-sectional side view of a portion of a structure including a semiconductor substrate having multiple dielectric layers formed thereon and a trench formed in ones of the dielectric layers.
[0007] Figure 2 shows a top perspective view of the structure of Figure 1.
[0008] Figure 3 shows the structure of Figure 1 with a via formed in others of the dielectric layers through the trench.
[0009] Figure 4 shows a top perspective view of the structure of Figure 3.
[0010] Figure 5 shows the structure of Figure 3 with a semiconductor material formed in the trench and via.
[0011] Figure 6 shows the structure of Figure 5 after a planarization to restrict Hie semiconductor material to the trench and via.
[0012] Figure 7 shows the structure of Figure 6 following the removal of ones of the dielectric layers to expose the semiconductor material of the trench.
[0013] Figure 8 shows a top perspective view of the structure of Figure 7.
[0014] Figure 9 shows the structure of Figure 8 following the separation of a portion of the trench material from the via material.
[0015] Figure 10 shows a cross-sectional side view through line A-A' of Figure 9.
DETAILED DESCRIPTION
[0016] Figure 1 shows a cross-sectional side view of a portion of a device structure. Device structure 100, in this embodiment, includes substrate 110. Substrate 110 includes a single crystal silicon substrate, such as portion 120 of 300 mm or larger single crystal silicon wafer. On portion 120 is graded epitaxial layer 130. In one embodiment, graded epitaxial layer is a high carrier mobility material such as silicon germanium (SiGe), gallium arsenide (GaAs), or indium antimony (InSb). In terms of a graded layer on
portion 120 of silicon, epitaxial layer 130 has a relatively low lattice-mismatch film (e.g., a lattice mismatch less than one percent) nearest the portion 120 and the lattice mismatch generally increases in epitaxial layer 130 moving away from portion 120.
[0017] In the structure shown in Figure 1, overlying substrate 110 (on epitaxial layer 130) is first dielectric layer 140. In one embodiment, first dielectric layer 140 is an oxide (e.g., silicon dioxide (SiOa)), First dielectric layer 140 is deposited to a thickness, as wilt become more clear later, that defects (e.g., dislocations) resulting from epitaxial layer 130 will terminate with first dielectric layer 140. Representatively, a layer of SiOz can be deposited by chemical vapor deposition (CVD) or atomic layer deposition (ALD). Overlying first dielectric layer 140 in the structure of Figure 1 is second dielectric layer 150. In one embodiment, second-dielectric layer 150 has an etch characteristic such that it may be etched (e.g., removed) selectively in the presence of first dielectric layer 140. In one embodiment, second dielectric layer 150 is silicon nitride (SijN-O deposited, for example, by CVD or ALD,
[0018] Overlying second dielectric layer 150 in the structure shown in Figure 1 is third dielectric layer 160. In one embodiment, third dielectric layer 160 is a material that may be selectively etched (e.g., removed) hi the presence of second dielectric layer 150. In one embodiment, third dielectric layer 160 is an oxide (e.g., SiOi) deposited by CVD or ALD. As will be come more clear later, third dielectric layer 160 is deposited, in one embodiment, to a thickness at least suitable as a thickness for a device channel (e.g., a transistor device channel). Third dielectric layer 160 of SiO2 may be deposited, hi one embodiment, by ALD to a thickness less than 100 angstroms (A).
[0019] Overlying third dielectric layer 160 in the embodiment of a structure shown in Figure 1 is fourth dielectric layer 170. In one embodiment fourth dielectric layer 170 has an etch characteristic such that it may be selectively etched (e.g., removed) in the presence of third dielectric layer 160, In one embodiment, fourth dielectric layer 170 is a silicon nitride (SisN-O material deposited, for example, by CVD or ALD.
[0020] In the embodiment of the structure shown in Figure 1, trench 180 with trench pad 185 is formed in and/or through third dielectric layer 160 and fourth dielectric layer 170. In one embodiment, trench 180 and trench pad 185 may be formed using
photolithographic techniques. For example, a masking material may define an exposed region or area of fourth dielectric layer 170 for trench 180 and trench pad 185. Suitably, trench 180 has a dimension suitable for at least a device channel (e.g., a transistor device channel) including a length, LI, and width, Wj, Representatively, photolithographic techniques may be used to define a mask having an opening area suitable for forming a device channel (nanometer scale dimension). In one embodiment, trench pad 185 is selected to have an area (La x Wz) sufficient to provide area for the formation of a via hi an area (base) of trench pad 185 (i.e., through second dielectric layer 150 and first dielectric layer 140). In one embodiment, a feature size of trench 180 is selected to be minimized (e.g., feature size defined by width, Wi), so trench pad 185 has a width, Wz, greater than width, Wi of trench 180. Trench pad 185 has, hi this embodiment, a length, LQ, sufficient to provide area for a subsequent via.
[0021] To form trench 180 and trench pad 185, an etch chemistry suitable for etching silicon nitride (e.g., a CPJQz chemistry) may then be used to expose third dielectric layer 160. Following the removal of the channel region corresponding to fourth dielectric layer 170, an etch chemistry suitable for etching third dielectric layer 160 may be employed to further define trench 180 and trench pad 185 through third dielectric layer 160. A suitable chemistry for etching SiO: to the exclusion of Siaty (e.g., to stop on a second dielectric layer 150 of- for example, SisN^ is, for example, CHFa/Oa , Figure 2 shows a top perspective view of structure 100 showing trench 180 and trench pad 185 formed through fourth dielectric layer 170 and third dielectric layer 160. Following the formation of trench 180 and trench pad 185, any photolithographic mask used to define trench 180 and trench pad 185 may be removed.
[0022] Figure 3 shows the structure of Figure 1. following the formation of a via in trench 180 and trench pad 185. In one embodiment, via 190 may be formed by defining an opening through an exposed portion of second dielectric layer 150 (exposed in trench pad 185) through photolithographic techniques. An etch chemistry suitable for etching second dielectric layer 150 may then be utilized to remove a portion of second dielectric layer 150 corresponding to the via opening and expose first dielectric layer 140. Via 190 may be defined of a dimension suitable for crystal growth. An etch chemistry suitable for etching first dielectric layer 140 may then be used to further define via 190. An etch
chemistry, in one embodiment, suitable for etching first dielectric layer 140 of SiO2 selectively relative to epitaxial layer 130 is, for example, CHF3/O2. Accordingly, via 190 is formed in an area defined by trench pad 185 through second dielectric layer 150 and first dielectric layer 140 and stops (terminates) at epitaxial layer 130. Figure 4 shows a top perspective view of the structure of Figure 3 showing via 190 formed trench pad 185 to epitaxial layer 130.
[0023] Figure 5 shows structure 100 following the introduction of a semiconductor material in trench 180, trench pad 185 and via 190. Figure 5 shows the structure of Figure 3 following the introduction of semiconductor material into trench 180, trench pad 185 and via 190. In one embodiment, semiconductor material 200 is deposited as an amorphous, polycrystalline, or epitaxial layer of semiconductor material. Suitable semiconductor material includes silicon, or a high carrier mobility material such as SiGe, GaAs, or InSb material. Semiconductor material 200 may be deposited by chemical vapor deposition or other techniques. As shown in Figure 5, in one embodiment, semiconductor material 200 is deposited to a thickness such that the material fills via 190 and trench 180 and overlies (as viewed) fourth dielectric layer 170.
[0024] In an embodiment where semiconductor material 200 is amorphous or polycrystalline when deposited, semiconductor material 200 is annealed such that a crystalline seed from epitaxial layer 130 grows through semiconductor material 200. In one embodiment, annealing conditions are selected such that crystalline growth begins in via 190 and spreads beyond via 190 into trench pad 185 and trench 180. Thus, epitaxial growth is progressive in that the growth occurs in via 190 initially then spreads through trench pad 185 and trench 180 and laterally grows within trench pad 185 and trench 180 and ultimately above trench 180. Thus, an amorphous or polycrystalline material may be converted to single crystalline with a suitable anneal. For example, when a silicon material is used for semiconductor material 200, it can be amorphous or polycrystalline when deposited on the dielectric surface. Here, the amorphous to polycrystalline transition occurs between a deposition temperature of 580°C to 590°C. Silicon is grown epitaxially directly on exposed Si area resulting in a single crystalline material with varied defect density. An anneal of silicon material at a temperature of 1000°C or greater will tend to crystallize the amorphous or polycrystalline Si into single crystalline material within via
190 and trench pad 185 and trench 180, as well as tend to reduce the as grown defect density.
[0025] In another embodiment, rather than depositing semiconductor material 200 as an amorphous or polycrystalline material, semiconductor material may be selectively deposited (e.g., by CVD or molecular beam epitaxy (MBE) techniques) at a single crystalline growth temperature (e.g., 800°C or greater for silicon) and grown, starting from via 190 with continued growth into trench pad 185 and trench 180. Following the growth, an optional final anneal at a higher temperature may be employed to reduce the defect density. The higher temperature anneal may be accomplished by rapid thermal, spike anneal, or by a laser anneal to minimize the atomic interdiffusion to retain the chemical composition in the high mobility channel and the epitaxial buffer layer regions.
(0026] Following the deposition and optional anneal of semiconductor material 200, structure 100 is planarized to confine semiconductor material 200 to trench pad 185, trench 180 and via 190. Figure 6 shows structure 100 following the planarization of the structure to restrict semiconductor material 200 to trench pad 185, trench 180 and via 190. In one embodiment, a polish, such as a chemical-mechanical polish may be used to planarize structure 100. In one embodiment, semiconductor material 200 in trench 180 is planarized to a thickness such that it may be suitable as a device channel for a device ultimately formed hi/on semiconductor material 200. Following the planarization, a surface cleaning is done with a optional anneal to create a high quality semiconductor surface in trench 180 for device fabrication.
[0027] Figure 7 shows structure of Figure 6 following the removal of fourth dielectric layer 170 and third dielectric layer 160, Fourth dielectric layer 170 may be removed by etch techniques, for example, utilizing an etchant suitable for removing fourth dielectric layer 170 (e.g., SiaN to the exclusion of semiconductor material 200 (e.g., phosphorus acid for SisN^. Following the removal of fourth dielectric layer 170, third dielectric layer 160 may be removed, again using an etch chemistry suitable for removing third dielectric layer 160 to the exclusion of semiconductor material 200 (e.g., a hydrogen flouride chemistry for Si02). Figure 7 shows the structure including semiconductor material 200, the trench portion of semiconductor material 200, exposed on a surface of structure 100 (a
top surface as viewed). Figure 8 shows a top, perspective view of the structure of Figure 7 and shows semiconductor material 200 formed in what was trench 180, trench pad 185 and via 190 of previously illustrated structure 100 (see Figure 3 and Figure 4).
[0028] Figure 9 shows the structure of Figure 8 following the separation of a portion of semiconductor material 200 from the portion that includes semiconductor material 200 within via 190 and a portion of trench pad 185 including, but not limited to, the entire portion. Referring to Figure 9, structure 100 includes semiconductor material 200 defined as semiconductor portion 200A and semiconductor portion 200B. Semiconductor portion 200B is separated at reference numeral 218 from semiconductor portion 200A which includes semiconductor material in via 190. Photolithographic/etch techniques may be used to separate semiconductor portion 200A and semiconductor portion 200B. In mis manner, semiconductor portion 200B may be used as a channel for device formation and semiconductor portion 200A isolated. One reason to separate a portion of the semiconductor material that includes the portion extending through the via is that that portion containing epitaxial layer 130 is electrically disconnected from semiconductor portion 200B. Thus, where the first dielectric layer 160 is a dielectric material such as SiO, that portion of structure 100 including semiconductor portion 200B is a semiconductor on insulated (SOI) structure, with semiconductor portion 200B serving as a device channel. Semiconductor portion 200B has a length, L0, that, in one embodiment, is less than LI.
[0029] Figure 10 shows the structure of Figure 9 through a cross-section through lines A-A' of Figure 9. In this representative embodiment, a transistor device is formed in/on semiconductor portion 200B. Representatively, the transistor device includes gate electrode 220 on semiconductor portion 200B (separated by a dielectric material) and source junction 230 and drain junction 240 formed in semiconductor portion 200B.
[0030] In the above embodiments, various dielectric layers have been described hi forming an SOI structure. It is appreciated that the designation of first through fourth dielectric layers are for convenience and should not be understood to limit the subject matter described in the claims. Thus, for example, although four dielectric layers are described, it is appreciated (hat one or more may be combined into a single or multiple
dielectric layers. Alternatively, more than four dielectric layers may be used to define a structure. It is appreciated that the various layers formed on structure 100 to define a device channel need not each be (or all be) dielectric material layers. Although an SOI structure is formed (requiring, for example, second dielectric layer 150 and perhaps first dielectric layer 140), for similar or other structures the various layers (particularly third dielectric layer 160 and fourth dielectric layer 170) may be formed of other suitable materials since the layers may be sacrificial in the sense that they may be removed to form an ultimate device structure. The embodiments described take advantage of the deposit and etch techniques of dielectric materials such as Such techniques allow the fabrication of small critical dimension (CD) structures such as channel structures and the control of the channel thickness (through trench depth). Further, the defect density associated with semiconductor materials having different lattice structures may be controlled again by the thickness of dielectric layer material (via depth).
[0031] In the preceding paragraphs, specific embodiments are described. It will, however, be evident that various modifications and changes may be made thereto without departing from the broader spirit and scope of the claims. The specification and drawings are, accordingly, to be regarded in an illustrative rather than a restrictive sense.









We Claim:
1. A method for forming a device structure comprising:
forming a substrate (1 10) comprising a first semiconductor material and a second
semiconductor material on a portion of the first semiconductor material, the second
semiconductor material having a different lattice parameter than the first semiconductor
material,
wherein the second semiconductor material is a graded epitaxial layer (130) formed on
the first semiconductor material;
characterized by forming a via dielectric layer on a semiconductor device substrate (1 10);
forming a dielectric trench layer on the via dielectric layer;
forming a trench (180) through the dielectric trench layer to expose the via dielectric
layer;
forming a via (190) in a portion of the exposed via dielectric layer in the trench (1 80) to
expose the substrate (1 10);
forming a semiconductor material(200) in the via (1 90) and in the trench (1 80); and
separating the portion of the semiconductor material (200) in the trench (180) as a
semiconductor on insulative structure (200B), transistor device channel;
wherein the semiconductor material in the via (190) and in the trench (180) comprises
crystalline high carrier mobility material.
2. The method for forming a device structure as claimed in claim 1, wherein forming a via
dielectric layer comprises:
forming a primary dielectric layer (140) comprising a material having a first etch
characteristic; and
forming a secondary dielectric layer (150) on the primary layer (140), the secondary
dielectric layer (150) comprising a material having second etch characteristic different
from the first etch characteristic.
3. The method for forming a device structure as claimed in claim 2, wherein the primary
dielectric layer (140) comprises silicon dioxide and the secondary dielectric layer (150)
comprises silicon nitride.
4. The method for forming a device structure as claimed in claim 2, wherein forming the
primary dielectric layer (140) comprises forming a via dielectric layer to a thickness to
minimize a crystalline defect in the second semiconductor material from propagating
beyond a depth of the via (1 90).
5. The method for forming a device structure as claimed in claim 1, wherein forming a
dielectric trench layer comprises:
forming a third dielectric layer (160) comprising a material having a first etch
characteristic different than a portion of the via dielectric layer; and
forming a fourth dielectric layer (170) comprising a material having a second etch
characteristic different than the primary dielectric layer.
6. The method for forming a device structure as claimed in claim 5, wherein forming the
third dielectric layer (160) comprises forming a layer to a thicknes selected to be suitable
as a circuit device base.
7. The method for forming a device structure as claimed in claim 1, wherein the dielectric
trench layer (160, 170) is removed after a semiconductor material (200) in the trench
(1 80) is formed.
8. The method for forming a device structure as claimed in claim 1, wherein semiconductor
material (200) in the trench (185) is crystallized, wherein crystallization comprises
annealing the semiconductor material (200) at a temperature suitable to produce a single
crystal mass.
9. The method for forming a device structure as claimed in claim 1, wherein forming a
dielectric trench layer comprises:
forming a trench (1 80) of a length at least suitable for a device channel; and
forming a trench pad (1 85) having dimensions different than the trench (1 80) adjacent to
the trench (1 80), wherein forming a via (1 90) comprises forming a via in a portion of the
trench pad (1 85).
10. The method for forming a device structure as claimed in claim 1, wherein exposed
surface of the semiconductor material (200) in the trench (1 85) is planarized.
1 1. The method for forming a device structure as claimed in claim 10, wherein planarizing
comprises planarizing of the semiconductor material (200) in the trench (185) to a
thickness suitable as a device channel.
12. The method for forming a device structure as claimed in claim 5, wherein the third
dielectric layer (160) and the fourth dielectric layer (170) are removed after a
semiconductor material (200) in the trench (1 85) is formed.
13. The method for forming a device structure as claimed in claim 12, wherein a portion (200
A) of the semiconductor material (200) formed in the trench (1 85) from a portion (200 B)
is electrically isolated of the semiconductor material formed in the via (1 90).
14. The method for forming a device structure as claimed in claim 13, wherein the isolated
portion of the semiconductor material (200A) formed in the trench (1 85) has a dimension
suitable as a circuit device base.
15. The method for forming a device structure as claimed in claims 2 and 5, wherein each of
the dielectric layers comprises a dielectric material, the first dielectric layer (140) and the
third dielectric layer (160) comprise similar materials, and the second dielectric layer
(1 50) and the fourth dielectric layer (1 70) comprise similar materials.
16. The method for forming a device structure as claimed in claim 15, wherein forming a first
dielectric layer (140) comprises forming a first dielectric layer (140) to a thickness to
minimize a crystalline defect in the second semiconductor material from propagating
beyond a depth of the via (1 90).
17. The method for forming a device structure as claimed in claim 1, wherein the graded
epitaxial layer (130) has a lattice mismatch less than 1 percent nearest the first
semiconductor material (1 20) and the lattice mismatch generally increases in the epitaxial
layer (130), moving away from the first semiconductor material; and wherein the
semiconductor material (200) in the via (190) and in the trench (1 80) comprises one of a
SiGe, GaAs, and InSb crystalline high carrier mobility material.
18. A semiconductor device structure comprising:
a device substrate (110) comprising a first semiconductor material and a second
semiconductor material on a portion of the first semiconductor material, the second
semiconductor material having a different lattice parameter than the first semiconductor
material,
wherein the second semiconductor material is a graded epitaxial layer (130) formed on
the first semiconductor material;
characterized by via dielectric layer formed on a surface of the device substrate (1 10);
dielectric trench layer on the via dielectric layer;
a trench (1 80) through the trench dielectric layer to the via dielectric layer; and
a via (190) through the via dielectric layer, through the trench (180), and to the substrate
(1 10);
wherein the trench (180) and via (190) comprise a semiconductor material (200) in the
trench (180) and in the via (190), a separated portion (200 B) of the semiconductor
material in the trench (180) as a semiconductor on insulated structure, transistor device
channel; and
wherein the semiconductor material in the via (190) and in the trench (180) comprises
crystalline high carrier mobility material.
19. The semiconductor device structure of claim 18, wherein the graded epitaxial layer (1 30)
has a lattice mismatch less than 1 percent nearest the first semiconductor material and the
lattice mismatch generally increases in the epitaxial layer (130), moving away from the
first semiconductor material; and wherein the semiconductor material (200) in the via
(190) and in the trench (180) comprises one of a SiGe, GaAs, and InSb crystalline high
carrier mobility material.

Documents:

1074-delnp-2006-1074-delnp-2006-Correspondence Others-(09-01-2013).pdf

1074-DELNP-2006-Abstract-(12-05-2010).pdf

1074-delnp-2006-abstract.pdf

1074-DELNP-2006-Claims-(12-05-2010).pdf

1074-delnp-2006-Claims-(22-02-2013).pdf

1074-delnp-2006-claims.pdf

1074-delnp-2006-Correspodence Others-(22-07-2011).pdf

1074-delnp-2006-Correspondence Others-(08-01-2013).pdf

1074-delnp-2006-Correspondence Others-(10-09-2013).pdf

1074-delnp-2006-Correspondence Others-(17-05-2013).pdf

1074-delnp-2006-Correspondence Others-(22-06-2011).pdf

1074-delnp-2006-Correspondence Others-(31-05-2013).pdf

1074-delnp-2006-Correspondence-Others-(11-03-2011).pdf

1074-DELNP-2006-Correspondence-Others-(11-04-2011).pdf

1074-DELNP-2006-Correspondence-Others-(12-05-2010)--.pdf

1074-DELNP-2006-Correspondence-Others-(12-05-2010).pdf

1074-delnp-2006-Correspondence-Others-(22-02-2013).pdf

1074-delnp-2006-correspondence-others.pdf

1074-delnp-2006-correspondence-others1.pdf

1074-delnp-2006-description (complete).pdf

1074-DELNP-2006-Drawings-(12-05-2010).pdf

1074-delnp-2006-drawings.pdf

1074-DELNP-2006-Form-1-(12-05-2010).pdf

1074-delnp-2006-form-1.pdf

1074-delnp-2006-form-18.pdf

1074-DELNP-2006-Form-2-(12-05-2010).pdf

1074-delnp-2006-form-2.pdf

1074-delnp-2006-Form-3-(11-03-2011).pdf

1074-DELNP-2006-Form-3-(12-05-2010).pdf

1074-delnp-2006-Form-3-(22-06-2011).pdf

1074-delnp-2006-Form-3-(31-05-2013).pdf

1074-delnp-2006-form-3.pdf

1074-delnp-2006-form-5.pdf

1074-delnp-2006-GPA-(22-02-2013).pdf

1074-delnp-2006-gpa.pdf

1074-delnp-2006-pct-210.pdf

1074-delnp-2006-Petition 137-(11-03-2011).pdf

1074-DELNP-2006-Petition 137-(12-05-2010).pdf

1074-delnp-2006-Petition-137-(22-06-2011).pdf

1074-delnp-2006-Petition-137-(31-05-2013).pdf


Patent Number 257883
Indian Patent Application Number 1074/DELNP/2006
PG Journal Number 47/2013
Publication Date 22-Nov-2013
Grant Date 14-Nov-2013
Date of Filing 28-Feb-2006
Name of Patentee INTEL CORPORATION
Applicant Address 2200 MISSION COLLEGE BOULEVARD, SANTA CLARA, CA 95052, U.S.A.
Inventors:
# Inventor's Name Inventor's Address
1 JIN, BEEN-YIH 12872 SIERRA COURT,LKAE OSWEGO,OR 97035, U.S.A.
2 DOYLE, BRAIIN 11156 NW MONTREUX LANE,PORTLAND, OR 97229, U.S.A.
3 HARELAND, SCOTT 378 SIOUX LOOKOUT, LINO LKES, MN 55014,U.S.A.
4 DOCZY, MARK 2922 NM NORWALK PLACE,BEAVERTON, OR 97006,U.S.A.
5 METZ, MATTHEW 3136 NE 13TH AVENUE,HILLSBORO, OR 97229, U.S.A.
6 BOYANOV, BOYAN 15760 NW RONDOS DRIVE, PORTLAND,OR 97229, U.S.A.
7 DATTA, SUMAN 16659 NW TALKING STICK WAY,BEAVERTON,OR 97006, U.S.A.
8 KAVALIEROS, JACK 14260 NW BELLE COURT,PORTLAND, OR 97229, U.S.A.
9 CHAU, ROBERT 8875 SW 171ST AVENUE,BEAVERTON, OR 97007, U.S.A.
PCT International Classification Number H01L 21/20
PCT International Application Number PCT/US2004/031070
PCT International Filing date 2004-09-23
PCT Conventions:
# PCT Application Number Date of Convention Priority Country
1 10/669,064 2003-09-23 U.S.A.